OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [tools/] [src/] [wigen/] [range.h] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ring0_mipt
/*
2
 * Copyright (c) 2016 by Alex I. Kuznetsov.
3
 *
4
 * Part of the LXP32 CPU IP core.
5
 *
6
 * This module defines the Range class which represents
7
 * VHDL array ranges.
8
 */
9
 
10
#ifndef RANGE_H_INCLUDED
11
#define RANGE_H_INCLUDED
12
 
13
#include <string>
14
 
15
class Range {
16
        int _high;
17
        int _low;
18
        bool _valid;
19
public:
20
        Range();
21
        Range(int h,int l);
22
 
23
        void assign(int h,int l);
24
        void clear();
25
 
26
        bool valid() const;
27
        int high() const;
28
        int low() const;
29
        int length() const;
30
        std::string toString() const;
31
};
32
 
33
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.