OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [tags/] [1.0/] [designs/] [core_syn/] [testbench.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 takar
----------------------------------------------------------------------------------------------
2
--
3
--      Input file         : config_Pkg.vhd
4
--      Design name        : config_Pkg
5
--      Author             : Tamar Kranenburg
6
--      Company            : Delft University of Technology
7
--                         : Faculty EEMCS, Department ME&CE
8
--                         : Systems and Circuits group
9
--
10
--      Description        : Testbench instantiates mblite_soc and stdio
11
--
12
----------------------------------------------------------------------------------------------
13
 
14
LIBRARY ieee;
15
USE ieee.std_logic_1164.ALL;
16
USE ieee.std_logic_unsigned.ALL;
17
 
18
LIBRARY std;
19
USE std.textio.ALL;
20
 
21
LIBRARY mblite;
22
USE mblite.config_Pkg.ALL;
23
USE mblite.core_Pkg.ALL;
24
USE mblite.std_Pkg.ALL;
25
 
26
ENTITY testbench IS
27
END testbench;
28
 
29
ARCHITECTURE arch OF testbench IS
30
 
31
    COMPONENT mblite_soc IS PORT
32
    (
33
        sys_clk_i : in STD_LOGIC := 'X';
34
        dbg_dmem_o_we_o : out STD_LOGIC;
35
        dbg_dmem_o_ena_o : out STD_LOGIC;
36
        sys_rst_i : in STD_LOGIC := 'X';
37
        sys_ena_i : in STD_LOGIC := 'X';
38
        sys_int_i : in STD_LOGIC := 'X';
39
        dbg_dmem_o_adr_o : out STD_LOGIC_VECTOR(31 downto 0);
40
        dbg_dmem_o_dat_o : out STD_LOGIC_VECTOR(31 downto 0);
41
        dbg_dmem_o_sel_o : out STD_LOGIC_VECTOR( 3 downto 0)
42
    );
43
    END COMPONENT;
44
 
45
    SIGNAL sys_clk_i : std_ulogic := '0';
46
    SIGNAL sys_int_i : std_ulogic := '0';
47
    SIGNAL sys_rst_i : std_ulogic := '0';
48
    SIGNAL sys_ena_i : std_ulogic := '1';
49
 
50
    SIGNAL dmem_o : dmem_out_type;
51
 
52
    CONSTANT std_out_adr : std_ulogic_vector(CFG_DMEM_SIZE - 1 DOWNTO 0) := X"FFFFFFC0";
53
BEGIN
54
 
55
    sys_clk_i <= NOT sys_clk_i AFTER 10000 ps;
56
    sys_rst_i <= '1' AFTER 0 ps, '0' AFTER  150000 ps;
57
    sys_int_i <= '1' AFTER 500000000 ps, '0' after 500040000 ps;
58
 
59
    soc : mblite_soc PORT MAP
60
    (
61
        sys_clk_i  => sys_clk_i,
62
        dbg_dmem_o_we_o => dmem_o.we_o,
63
        dbg_dmem_o_ena_o => dmem_o.ena_o,
64
        sys_rst_i => sys_rst_i,
65
        sys_ena_i => sys_ena_i,
66
        sys_int_i => sys_int_i,
67
        dbg_dmem_o_adr_o => dmem_o.adr_o,
68
        dbg_dmem_o_dat_o => dmem_o.dat_o,
69
        dbg_dmem_o_sel_o => dmem_o.sel_o
70
    );
71
 
72
    timeout: PROCESS(sys_clk_i)
73
    BEGIN
74
        IF NOW = 10 ms THEN
75
            REPORT "TIMEOUT" SEVERITY FAILURE;
76
        END IF;
77
    END PROCESS;
78
 
79
    -- Character device
80
    stdio: PROCESS(sys_clk_i)
81
        VARIABLE s    : line;
82
        VARIABLE byte : std_ulogic_vector(7 DOWNTO 0);
83
        VARIABLE char : character;
84
    BEGIN
85
 
86
        IF rising_edge(sys_clk_i) THEN
87
            IF (NOT sys_rst_i AND dmem_o.ena_o AND compare(dmem_o.adr_o, std_out_adr)) = '1' THEN
88
                IF dmem_o.we_o = '1' THEN
89
                -- WRITE STDOUT
90
                    CASE dmem_o.sel_o IS
91
                        WHEN "0001" => byte := dmem_o.dat_o( 7 DOWNTO  0);
92
                        WHEN "0010" => byte := dmem_o.dat_o(15 DOWNTO  8);
93
                        WHEN "0100" => byte := dmem_o.dat_o(23 DOWNTO 16);
94
                        WHEN "1000" => byte := dmem_o.dat_o(31 DOWNTO 24);
95
                        WHEN OTHERS => NULL;
96
                    END CASE;
97
                    char := character'val(my_conv_integer(byte));
98
                    IF byte = X"0D" THEN
99
                        -- Ignore character 13
100
                    ELSIF byte = X"0A" THEN
101
                        -- Writeline on character 10 (newline)
102
                        writeline(output, s);
103
                    ELSE
104
                        -- Write to buffer
105
                        write(s, char);
106
                    END IF;
107
                END IF;
108
            END IF;
109
        END IF;
110
 
111
    END PROCESS;
112
 
113
END arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.