OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [hw/] [core/] [core_wb.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 takar
----------------------------------------------------------------------------------------------
2
--
3
--      Input file         : core_wb.vhd
4
--      Design name        : core_wb
5
--      Author             : Tamar Kranenburg
6
--      Company            : Delft University of Technology
7
--                         : Faculty EEMCS, Department ME&CE
8
--                         : Systems and Circuits group
9
--
10
--      Description        : Top level module of the MB-Lite microprocessor with connected
11
--                           wishbone data bus
12
--
13
----------------------------------------------------------------------------------------------
14
 
15 8 takar
library ieee;
16
use ieee.std_logic_1164.all;
17
use ieee.std_logic_unsigned.all;
18 2 takar
 
19 8 takar
library mblite;
20
use mblite.config_Pkg.all;
21
use mblite.core_Pkg.all;
22
use mblite.std_Pkg.all;
23 2 takar
 
24 8 takar
entity core_wb is generic
25 2 takar
(
26
    G_INTERRUPT  : boolean := CFG_INTERRUPT;
27
    G_USE_HW_MUL : boolean := CFG_USE_HW_MUL;
28
    G_USE_BARREL : boolean := CFG_USE_BARREL;
29
    G_DEBUG      : boolean := CFG_DEBUG
30
);
31 8 takar
port
32 2 takar
(
33 8 takar
    imem_o : out imem_out_type;
34
    wb_o   : out wb_mst_out_type;
35
    imem_i : in imem_in_type;
36
    wb_i   : in wb_mst_in_type
37 2 takar
);
38 8 takar
end core_wb;
39 2 takar
 
40 8 takar
architecture arch of core_wb is
41
    signal dmem_i : dmem_in_type;
42
    signal dmem_o : dmem_out_type;
43
begin
44 2 takar
 
45 8 takar
    wb_adapter0 : core_wb_adapter port map
46 2 takar
    (
47
        dmem_i => dmem_i,
48
        wb_o   => wb_o,
49
        dmem_o => dmem_o,
50
        wb_i   => wb_i
51
    );
52
 
53 8 takar
    core0 : core generic map
54 2 takar
    (
55
        G_INTERRUPT  => G_INTERRUPT,
56
        G_USE_HW_MUL => G_USE_HW_MUL,
57
        G_USE_BARREL => G_USE_BARREL,
58
        G_DEBUG      => G_DEBUG
59
    )
60 8 takar
    port map
61 2 takar
    (
62
        imem_o => imem_o,
63
        dmem_o => dmem_o,
64
        imem_i => imem_i,
65
        dmem_i => dmem_i,
66
        int_i  => wb_i.int_i,
67
        rst_i  => wb_i.rst_i,
68
        clk_i  => wb_i.clk_i
69
    );
70
 
71 8 takar
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.