OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [hw/] [core/] [core_wb_adapter.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 takar
----------------------------------------------------------------------------------------------
2
--
3
--      Input file         : core_wb_adapter.vhd
4
--      Design name        : core_wb_adapter.vhd
5
--      Author             : Tamar Kranenburg
6
--      Company            : Delft University of Technology
7
--                         : Faculty EEMCS, Department ME&CE
8
--                         : Systems and Circuits group
9
--
10
--      Description        : Wishbone adapter for the MB-Lite microprocessor. The data output
11
--                           is registered for multicycle transfers. This adapter implements
12
--                           the synchronous Wishbone Bus protocol, Rev3B.
13
--
14
----------------------------------------------------------------------------------------------
15
 
16
LIBRARY ieee;
17
USE ieee.std_logic_1164.ALL;
18
USE ieee.std_logic_unsigned.ALL;
19
 
20
LIBRARY mblite;
21
USE mblite.config_Pkg.ALL;
22
USE mblite.core_Pkg.ALL;
23
USE mblite.std_Pkg.ALL;
24
 
25
ENTITY core_wb_adapter IS PORT
26
(
27
    dmem_i : OUT dmem_in_type;
28
    wb_o   : OUT wb_mst_out_type;
29
    dmem_o : IN dmem_out_type;
30
    wb_i   : IN wb_mst_in_type
31
);
32
END core_wb_adapter;
33
 
34
ARCHITECTURE arch OF core_wb_adapter IS
35
 
36 6 takar
    SIGNAL r_cyc_o : std_logic;
37
    SIGNAL rin_cyc_o : std_logic;
38
    SIGNAL r_data, rin_data : std_logic_vector(CFG_DMEM_WIDTH - 1 DOWNTO 0);
39
    SIGNAL s_wait : std_logic;
40 2 takar
 
41
BEGIN
42
 
43
    -- Direct input-output connections
44
    wb_o.adr_o   <= dmem_o.adr_o;
45
    wb_o.sel_o   <= dmem_o.sel_o;
46
    wb_o.we_o    <= dmem_o.we_o;
47
    dmem_i.dat_i <= wb_i.dat_i;
48
 
49
    -- synchronous bus control connections
50
    wb_o.cyc_o <= r_cyc_o OR wb_i.ack_i;
51
    wb_o.stb_o <= r_cyc_o;
52
 
53
    -- asynchronous core enable connection
54
    dmem_i.ena_i <= '0' WHEN (dmem_o.ena_o = '1' AND rin_cyc_o = '1') OR s_wait = '1' ELSE '1';
55
    wb_o.dat_o   <= rin_data;
56
 
57
    -- logic for wishbone master
58
    wb_adapter_comb: PROCESS(wb_i, dmem_o, r_cyc_o, r_data)
59
    BEGIN
60
 
61
        IF wb_i.rst_i = '1' THEN
62
            -- reset bus
63
            rin_data <= r_data;
64
            rin_cyc_o <= '0';
65
            s_wait <= '0';
66
        ELSIF r_cyc_o = '1' AND wb_i.ack_i = '1' THEN
67
            -- terminate wishbone cycle
68
            rin_data <= r_data;
69
            rin_cyc_o <= '0';
70
            s_wait <= '0';
71
        ELSIF dmem_o.ena_o = '1' AND wb_i.ack_i = '1' THEN
72
            -- wishbone bus is occuppied
73
            rin_data <= r_data;
74
            rin_cyc_o <= '1';
75
            s_wait <= '1';
76
        ELSIF r_cyc_o = '0' AND dmem_o.ena_o = '1' AND wb_i.ack_i = '0' THEN
77
            -- start wishbone cycle
78
            rin_data <= dmem_o.dat_o;
79
            rin_cyc_o <= '1';
80
            s_wait <= '0';
81
        ELSE
82
            -- maintain wishbone cycle
83
            rin_data <= r_data;
84
            rin_cyc_o <= r_cyc_o;
85
            s_wait <= '0';
86
        END IF;
87
 
88
    END PROCESS;
89
 
90
    wb_adapter_seq: PROCESS(wb_i.clk_i)
91
    BEGIN
92
        IF rising_edge(wb_i.clk_i) THEN
93
            r_cyc_o <= rin_cyc_o;
94
            r_data <= rin_data;
95
        END IF;
96
    END PROCESS;
97
 
98
END arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.