OpenCores
URL https://opencores.org/ocsvn/mesi_isc/mesi_isc/trunk

Subversion Repositories mesi_isc

[/] [mesi_isc/] [trunk/] [sim/] [dump.sav] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 yaira
[timestart] 9443000000
2
[size] 1366 719
3
[pos] -1 -1
4
*-27.579500 10363547000 97000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
5
[treeopen] mesi_isc_tb.
6
[treeopen] mesi_isc_tb.mesi_isc.mesi_isc_broad.
7
@2028
8
^1 /home/yair/Work/Projects/mesi_isc/sim/filters/rst.filter
9
mesi_isc_tb.rst
10
@28
11
mesi_isc_tb.clk
12
@800200
13
-tb
14
@c00200
15
-tb_inst
16
@2028
17
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
18
mesi_isc_tb.tb_ins3[3:0]
19
@2024
20
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
21
mesi_isc_tb.tb_ins_addr3[3:0]
22
@28
23
(0)mesi_isc_tb.tb_ins_ack[3:0]
24
@2028
25
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
26
mesi_isc_tb.tb_ins2[3:0]
27
@2024
28
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
29
mesi_isc_tb.tb_ins_addr2[3:0]
30
@28
31
(1)mesi_isc_tb.tb_ins_ack[3:0]
32
@2028
33
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
34
mesi_isc_tb.tb_ins1[3:0]
35
@2024
36
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
37
mesi_isc_tb.tb_ins_addr1[3:0]
38
@28
39
(2)mesi_isc_tb.tb_ins_ack[3:0]
40
@2028
41
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
42
mesi_isc_tb.tb_ins0[3:0]
43
@2024
44
^2 /home/yair/Work/Projects/mesi_isc/sim/filters/tb_ins.filter
45
mesi_isc_tb.tb_ins_addr0[3:0]
46
@28
47
(3)mesi_isc_tb.tb_ins_ack[3:0]
48
@1401200
49
-tb_inst
50
@c00200
51
-tb_other
52
@22
53
mesi_isc_tb.cur_stimulus_cpu[31:0]
54
mesi_isc_tb.tb_ins_nop_period0[7:0]
55
mesi_isc_tb.tb_ins_nop_period1[7:0]
56
mesi_isc_tb.tb_ins_nop_period2[7:0]
57
mesi_isc_tb.tb_ins_nop_period3[7:0]
58
@24
59
mesi_isc_tb.cpu_priority[1:0]
60
@28
61
mesi_isc_tb.mbus_ack_memory[3:0]
62
mesi_isc_tb.mbus_ack_mesi_isc[3:0]
63
mesi_isc_tb.mbus_ack[3:0]
64
@1401200
65
-tb_other
66
@1000200
67
-tb
68
@800200
69
-mbus
70
@22
71
mesi_isc_tb.mbus_data_rd[31:0]
72
@2028
73
^3 /home/yair/Work/Projects/mesi_isc/sim/filters/mbus_cmd.filter
74
mesi_isc_tb.mbus_cmd3[2:0]
75
@22
76
mesi_isc_tb.mesi_isc.mbus_addr3_i[31:0]
77
mesi_isc_tb.mbus_data_wr3[31:0]
78
@28
79
(0)mesi_isc_tb.mbus_ack[3:0]
80
@2028
81
^3 /home/yair/Work/Projects/mesi_isc/sim/filters/mbus_cmd.filter
82
mesi_isc_tb.mbus_cmd2[2:0]
83
@22
84
mesi_isc_tb.mesi_isc.mbus_addr2_i[31:0]
85
mesi_isc_tb.mbus_data_wr2[31:0]
86
@28
87
(1)mesi_isc_tb.mbus_ack[3:0]
88
@2028
89
^3 /home/yair/Work/Projects/mesi_isc/sim/filters/mbus_cmd.filter
90
mesi_isc_tb.mbus_cmd1[2:0]
91
@22
92
mesi_isc_tb.mesi_isc.mbus_addr1_i[31:0]
93
mesi_isc_tb.mbus_data_wr1[31:0]
94
@28
95
(2)mesi_isc_tb.mbus_ack[3:0]
96
@2028
97
^3 /home/yair/Work/Projects/mesi_isc/sim/filters/mbus_cmd.filter
98
mesi_isc_tb.mbus_cmd0[2:0]
99
@c00022
100
mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
101
@28
102
(0)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
103
(1)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
104
(2)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
105
(3)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
106
(4)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
107
(5)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
108
(6)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
109
(7)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
110
(8)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
111
(9)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
112
(10)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
113
(11)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
114
(12)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
115
(13)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
116
(14)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
117
(15)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
118
(16)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
119
(17)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
120
(18)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
121
(19)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
122
(20)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
123
(21)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
124
(22)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
125
(23)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
126
(24)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
127
(25)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
128
(26)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
129
(27)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
130
(28)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
131
(29)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
132
(30)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
133
(31)mesi_isc_tb.mesi_isc.mbus_addr0_i[31:0]
134
@1401200
135
-group_end
136
@22
137
mesi_isc_tb.mbus_data_wr0[31:0]
138
@28
139
(3)mesi_isc_tb.mbus_ack[3:0]
140
@1000200
141
-mbus
142
@800200
143
-cbus
144
@22
145
mesi_isc_tb.mesi_isc.cbus_addr_o[31:0]
146
@2028
147
^4 /home/yair/Work/Projects/mesi_isc/sim/filters/cbus_cmd.filter
148
mesi_isc_tb.mesi_isc.cbus_cmd3_o[2:0]
149
@28
150
mesi_isc_tb.mesi_isc.cbus_ack3_i
151
@2028
152
^4 /home/yair/Work/Projects/mesi_isc/sim/filters/cbus_cmd.filter
153
mesi_isc_tb.mesi_isc.cbus_cmd2_o[2:0]
154
@28
155
mesi_isc_tb.mesi_isc.cbus_ack2_i
156
@2028
157
^4 /home/yair/Work/Projects/mesi_isc/sim/filters/cbus_cmd.filter
158
mesi_isc_tb.mesi_isc.cbus_cmd1_o[2:0]
159
@28
160
mesi_isc_tb.mesi_isc.cbus_ack1_i
161
@2008
162
^4 /home/yair/Work/Projects/mesi_isc/sim/filters/cbus_cmd.filter
163
mesi_isc_tb.mesi_isc.cbus_cmd0_o[2:0]
164
@28
165
mesi_isc_tb.mesi_isc.cbus_ack0_i
166
@1000200
167
-cbus
168
@c00200
169
-broad_cntl
170
@28
171
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.broadcast_in_progress
172
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_active_broad_array[3:0]
173
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_active_en_access_array[3:0]
174
@24
175
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.broad_snoop_cpu_id_i[1:0]
176
@28
177
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_ack_array_i[3:0]
178
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_active_en_access_array[3:0]
179
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.broad_fifo_rd_o
180
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.fifo_status_empty_i
181
@22
182
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.fifo_rd_array_o[3:0]
183
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.broad_addr_o[31:0]
184
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_active_en_access_array[3:0]
185
mesi_isc_tb.mesi_isc.mesi_isc_broad.mesi_isc_broad_cntl.cbus_ack_array_i[3:0]
186
@1401200
187
-broad_cntl
188
@c00200
189
-tb_cpu3
190
@2028
191
^5 /home/yair/Work/Projects/mesi_isc/sim/filters/c_state.filter
192
mesi_isc_tb.mesi_isc_tb_cpu3.c_state[3:0]
193
^6 /home/yair/Work/Projects/mesi_isc/sim/filters/m_state.filter
194
mesi_isc_tb.mesi_isc_tb_cpu3.m_state[2:0]
195
@28
196
mesi_isc_tb.mesi_isc_tb_cpu3.mbus_ack_i
197
mesi_isc_tb.mesi_isc_tb_cpu3.mbus_cmd_o[2:0]
198
mesi_isc_tb.mesi_isc_tb_cpu3.mbus_ack_i
199
@22
200
mesi_isc_tb.mesi_isc_tb_cpu3.tb_ins_i[3:0]
201
@28
202
mesi_isc_tb.mesi_isc_tb_cpu3.cbus_cmd_i[2:0]
203
@22
204
mesi_isc_tb.mesi_isc_tb_cpu3.c_addr[31:0]
205
@28
206
mesi_isc_tb.mesi_isc_tb_cpu3.wr_proc_wait_for_en
207
@22
208
mesi_isc_tb.mesi_isc_tb_cpu3.wr_proc_addr[31:0]
209
@28
210
mesi_isc_tb.mesi_isc_tb_cpu3.rd_proc_wait_for_en
211
@22
212
mesi_isc_tb.mesi_isc_tb_cpu3.rd_proc_addr[31:0]
213
@1401200
214
-tb_cpu3
215
@c00200
216
-tb_cpu2
217
@2028
218
^5 /home/yair/Work/Projects/mesi_isc/sim/filters/c_state.filter
219
mesi_isc_tb.mesi_isc_tb_cpu2.c_state[3:0]
220
^6 /home/yair/Work/Projects/mesi_isc/sim/filters/m_state.filter
221
mesi_isc_tb.mesi_isc_tb_cpu2.m_state[2:0]
222
@1401200
223
-tb_cpu2
224
@800200
225
-tb_cpu1
226
@2028
227
^5 /home/yair/Work/Projects/mesi_isc/sim/filters/c_state.filter
228
mesi_isc_tb.mesi_isc_tb_cpu1.c_state[3:0]
229
^6 /home/yair/Work/Projects/mesi_isc/sim/filters/m_state.filter
230
mesi_isc_tb.mesi_isc_tb_cpu1.m_state[2:0]
231
@28
232
mesi_isc_tb.mesi_isc_tb_cpu1.rd_proc_wait_for_en
233
@1000200
234
-tb_cpu1
235
@c00200
236
-tb_cpu0
237
@2028
238
^5 /home/yair/Work/Projects/mesi_isc/sim/filters/c_state.filter
239
mesi_isc_tb.mesi_isc_tb_cpu0.c_state[3:0]
240
^6 /home/yair/Work/Projects/mesi_isc/sim/filters/m_state.filter
241
mesi_isc_tb.mesi_isc_tb_cpu0.m_state[2:0]
242
@28
243
mesi_isc_tb.mesi_isc_tb_cpu0.rd_proc_wait_for_en
244
@22
245
mesi_isc_tb.mesi_isc_tb_cpu0.rd_proc_addr[31:0]
246
@28
247
mesi_isc_tb.mesi_isc_tb_cpu0.wr_proc_wait_for_en
248
@22
249
mesi_isc_tb.mesi_isc_tb_cpu0.wr_proc_addr[31:0]
250
@1401200
251
-tb_cpu0
252
@28
253
mesi_isc_tb.clk
254
@c00200
255
-broad_fifo
256
@28
257
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.rd_i
258
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.wr_i
259
@24
260
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.fifo_depth[1:0]
261
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.ptr_rd[1:0]
262
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.ptr_wr[1:0]
263
@28
264
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.fifo_depth_decrease
265
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.fifo_depth_increase
266
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.status_empty
267
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.status_full
268
@22
269
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_i[40:0]
270
@c00022
271
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
272
@28
273
(0)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
274
(1)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
275
(2)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
276
(3)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
277
(4)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
278
(5)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
279
(6)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
280
(7)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
281
(8)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
282
(9)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
283
(10)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
284
(11)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
285
(12)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
286
(13)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
287
(14)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
288
(15)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
289
(16)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
290
(17)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
291
(18)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
292
(19)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
293
(20)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
294
(21)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
295
(22)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
296
(23)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
297
(24)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
298
(25)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
299
(26)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
300
(27)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
301
(28)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
302
(29)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
303
(30)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
304
(31)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
305
(32)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
306
(33)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
307
(34)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
308
(35)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
309
(36)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
310
(37)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
311
(38)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
312
(39)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
313
(40)mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.data_o[40:0]
314
@1401200
315
-group_end
316
@28
317
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.dbg_fifo_overflow
318
mesi_isc_tb.mesi_isc.mesi_isc_broad.broad_fifo.dbg_fifo_underflow
319
@1401200
320
-broad_fifo
321
@c00200
322
-breq_cntl
323
@28
324
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.clk
325
@22
326
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.fifo_rd_array_o[3:0]
327
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.fifo_wr_array_o[3:0]
328
@28
329
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.mbus_ack_array[3:0]
330
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.fifo_select_oh[3:0]
331
@22
332
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.broad_cpu_id_o[1:0]
333
@28
334
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.broad_fifo_wr_o
335
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.mesi_isc_breq_fifos_cntl.broad_fifo_status_full_i
336
@1401200
337
-breq_cntl
338
@c00200
339
-breq_fifos
340
@22
341
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_3.data_i[40:0]
342
@28
343
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_3.fifo_depth
344
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_3.status_full
345
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_3.status_empty
346
@22
347
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_2.data_i[40:0]
348
@28
349
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_2.fifo_depth
350
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_2.status_full
351
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_2.status_empty
352
@22
353
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_1.data_i[40:0]
354
@28
355
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_1.fifo_depth
356
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_1.status_full
357
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_1.status_empty
358
@22
359
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_0.data_i[40:0]
360
@28
361
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_0.fifo_depth
362
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_0.status_full
363
mesi_isc_tb.mesi_isc.mesi_isc_breq_fifos.fifo_0.status_empty
364
@1401200
365
-breq_fifos
366
@800200
367
-mem1
368
@22
369
mesi_isc_tb.mem1[31:0]
370
@2028
371
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
372
mesi_isc_tb.mesi_isc_tb_cpu3.cache_state1[3:0]
373
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
374
mesi_isc_tb.mesi_isc_tb_cpu2.cache_state1[3:0]
375
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
376
mesi_isc_tb.mesi_isc_tb_cpu1.cache_state1[3:0]
377
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
378
mesi_isc_tb.mesi_isc_tb_cpu0.cache_state1[3:0]
379
@1000200
380
-mem1
381
@800200
382
-mem2
383
@22
384
mesi_isc_tb.mem2[31:0]
385
@2028
386
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
387
mesi_isc_tb.mesi_isc_tb_cpu3.cache_state2[3:0]
388
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
389
mesi_isc_tb.mesi_isc_tb_cpu2.cache_state2[3:0]
390
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
391
mesi_isc_tb.mesi_isc_tb_cpu1.cache_state2[3:0]
392
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
393
mesi_isc_tb.mesi_isc_tb_cpu0.cache_state2[3:0]
394
@c00200
395
-mem2_more
396
@22
397
mesi_isc_tb.mesi_isc_tb_cpu3.cache2[31:0]
398
mesi_isc_tb.mesi_isc_tb_cpu2.cache2[31:0]
399
mesi_isc_tb.mesi_isc_tb_cpu1.cache2[31:0]
400
mesi_isc_tb.mesi_isc_tb_cpu0.cache2[31:0]
401
@800022
402
mesi_isc_tb.mem2[31:0]
403
@c00022
404
#{mem2_3} (0)mesi_isc_tb.mem2[31:0] (1)mesi_isc_tb.mem2[31:0] (2)mesi_isc_tb.mem2[31:0] (3)mesi_isc_tb.mem2[31:0] (4)mesi_isc_tb.mem2[31:0] (5)mesi_isc_tb.mem2[31:0] (6)mesi_isc_tb.mem2[31:0] (7)mesi_isc_tb.mem2[31:0]
405
@28
406
(0)mesi_isc_tb.mem2[31:0]
407
(1)mesi_isc_tb.mem2[31:0]
408
(2)mesi_isc_tb.mem2[31:0]
409
(3)mesi_isc_tb.mem2[31:0]
410
(4)mesi_isc_tb.mem2[31:0]
411
(5)mesi_isc_tb.mem2[31:0]
412
(6)mesi_isc_tb.mem2[31:0]
413
(7)mesi_isc_tb.mem2[31:0]
414
@1401200
415
-group_end
416
@c00022
417
#{mem2_2} (8)mesi_isc_tb.mem2[31:0] (9)mesi_isc_tb.mem2[31:0] (10)mesi_isc_tb.mem2[31:0] (11)mesi_isc_tb.mem2[31:0] (12)mesi_isc_tb.mem2[31:0] (13)mesi_isc_tb.mem2[31:0] (14)mesi_isc_tb.mem2[31:0] (15)mesi_isc_tb.mem2[31:0]
418
@28
419
(8)mesi_isc_tb.mem2[31:0]
420
(9)mesi_isc_tb.mem2[31:0]
421
(10)mesi_isc_tb.mem2[31:0]
422
(11)mesi_isc_tb.mem2[31:0]
423
(12)mesi_isc_tb.mem2[31:0]
424
(13)mesi_isc_tb.mem2[31:0]
425
(14)mesi_isc_tb.mem2[31:0]
426
(15)mesi_isc_tb.mem2[31:0]
427
@1401200
428
-group_end
429
@c00022
430
#{mem2_1} (16)mesi_isc_tb.mem2[31:0] (17)mesi_isc_tb.mem2[31:0] (18)mesi_isc_tb.mem2[31:0] (19)mesi_isc_tb.mem2[31:0] (20)mesi_isc_tb.mem2[31:0] (21)mesi_isc_tb.mem2[31:0] (22)mesi_isc_tb.mem2[31:0] (23)mesi_isc_tb.mem2[31:0]
431
@28
432
(16)mesi_isc_tb.mem2[31:0]
433
(17)mesi_isc_tb.mem2[31:0]
434
(18)mesi_isc_tb.mem2[31:0]
435
(19)mesi_isc_tb.mem2[31:0]
436
(20)mesi_isc_tb.mem2[31:0]
437
(21)mesi_isc_tb.mem2[31:0]
438
(22)mesi_isc_tb.mem2[31:0]
439
(23)mesi_isc_tb.mem2[31:0]
440
@1401200
441
-group_end
442
@c00022
443
#{mem2_0} (24)mesi_isc_tb.mem2[31:0] (25)mesi_isc_tb.mem2[31:0] (26)mesi_isc_tb.mem2[31:0] (27)mesi_isc_tb.mem2[31:0] (28)mesi_isc_tb.mem2[31:0] (29)mesi_isc_tb.mem2[31:0] (30)mesi_isc_tb.mem2[31:0] (31)mesi_isc_tb.mem2[31:0]
444
@28
445
(24)mesi_isc_tb.mem2[31:0]
446
(25)mesi_isc_tb.mem2[31:0]
447
(26)mesi_isc_tb.mem2[31:0]
448
(27)mesi_isc_tb.mem2[31:0]
449
(28)mesi_isc_tb.mem2[31:0]
450
(29)mesi_isc_tb.mem2[31:0]
451
(30)mesi_isc_tb.mem2[31:0]
452
(31)mesi_isc_tb.mem2[31:0]
453
@1401200
454
-group_end
455
@1001200
456
-group_end
457
@1401200
458
-mem2_more
459
@1000200
460
-group_end
461
@800201
462
-mem3
463
@23
464
mesi_isc_tb.mem3[31:0]
465
@2029
466
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
467
mesi_isc_tb.mesi_isc_tb_cpu3.cache_state3[3:0]
468
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
469
mesi_isc_tb.mesi_isc_tb_cpu2.cache_state3[3:0]
470
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
471
mesi_isc_tb.mesi_isc_tb_cpu1.cache_state3[3:0]
472
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
473
mesi_isc_tb.mesi_isc_tb_cpu0.cache_state3[3:0]
474
@1000201
475
-mem3
476
@800200
477
-mem4
478
@22
479
mesi_isc_tb.mem4[31:0]
480
@2028
481
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
482
mesi_isc_tb.mesi_isc_tb_cpu3.cache_state4[3:0]
483
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
484
mesi_isc_tb.mesi_isc_tb_cpu2.cache_state4[3:0]
485
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
486
mesi_isc_tb.mesi_isc_tb_cpu1.cache_state4[3:0]
487
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
488
mesi_isc_tb.mesi_isc_tb_cpu0.cache_state4[3:0]
489
@1000200
490
-mem4
491
@800200
492
-mem5
493
@22
494
mesi_isc_tb.mem5[31:0]
495
@2028
496
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
497
mesi_isc_tb.mesi_isc_tb_cpu3.cache_state5[3:0]
498
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
499
mesi_isc_tb.mesi_isc_tb_cpu2.cache_state5[3:0]
500
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
501
mesi_isc_tb.mesi_isc_tb_cpu1.cache_state5[3:0]
502
^7 /home/yair/Work/Projects/mesi_isc/sim/filters/mesi_states.filter
503
mesi_isc_tb.mesi_isc_tb_cpu0.cache_state5[3:0]
504
@1000200
505
-mem5
506
@c00200
507
-wr_data
508
@1401200
509
-wr_data
510
[pattern_trace] 1
511
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.