OpenCores
URL https://opencores.org/ocsvn/mesi_isc/mesi_isc/trunk

Subversion Repositories mesi_isc

[/] [mesi_isc/] [trunk/] [syn/] [mesi_isc.fit.rpt] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 yaira
Fitter report for mesi_isc
2
Tue Dec 25 13:54:52 2012
3
Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Fitter Summary
11
  3. Fitter Settings
12
  4. Parallel Compilation
13
  5. I/O Assignment Warnings
14
  6. Fitter Netlist Optimizations
15
  7. Incremental Compilation Preservation Summary
16
  8. Incremental Compilation Partition Settings
17
  9. Incremental Compilation Placement Preservation
18
 10. Pin-Out File
19
 11. Fitter Resource Usage Summary
20
 12. Fitter Partition Statistics
21
 13. Input Pins
22
 14. Output Pins
23
 15. Dual Purpose and Dedicated Pins
24
 16. I/O Bank Usage
25
 17. All Package Pins
26
 18. Fitter Resource Utilization by Entity
27
 19. Delay Chain Summary
28
 20. Pad To Core Delay Chain Fanout
29
 21. Control Signals
30
 22. Global & Other Fast Signals
31
 23. Non-Global High Fan-Out Signals
32
 24. Interconnect Usage Summary
33
 25. LAB Logic Elements
34
 26. LAB-wide Signals
35
 27. LAB Signals Sourced
36
 28. LAB Signals Sourced Out
37
 29. LAB Distinct Inputs
38
 30. I/O Rules Summary
39
 31. I/O Rules Details
40
 32. I/O Rules Matrix
41
 33. Fitter Device Options
42
 34. Operating Settings and Conditions
43
 35. Estimated Delay Added for Hold Timing Summary
44
 36. Estimated Delay Added for Hold Timing Details
45
 37. Fitter Messages
46
 
47
 
48
 
49
----------------
50
; Legal Notice ;
51
----------------
52
Copyright (C) 1991-2012 Altera Corporation
53
Your use of Altera Corporation's design tools, logic functions
54
and other software and tools, and its AMPP partner logic
55
functions, and any output files from any of the foregoing
56
(including device programming or simulation files), and any
57
associated documentation or information are expressly subject
58
to the terms and conditions of the Altera Program License
59
Subscription Agreement, Altera MegaCore Function License
60
Agreement, or other applicable license agreement, including,
61
without limitation, that your use is for the sole purpose of
62
programming logic devices manufactured by Altera and sold by
63
Altera or its authorized distributors.  Please refer to the
64
applicable agreement for further details.
65
 
66
 
67
 
68
+------------------------------------------------------------------------------------+
69
; Fitter Summary                                                                     ;
70
+------------------------------------+-----------------------------------------------+
71
; Fitter Status                      ; Successful - Tue Dec 25 13:54:52 2012         ;
72
; Quartus II 32-bit Version          ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ;
73
; Revision Name                      ; mesi_isc                                      ;
74
; Top-level Entity Name              ; mesi_isc                                      ;
75
; Family                             ; Cyclone IV GX                                 ;
76
; Device                             ; EP4CGX30CF23C6                                ;
77
; Timing Models                      ; Final                                         ;
78
; Total logic elements               ; 827 / 29,440 ( 3 % )                          ;
79
;     Total combinational functions  ; 481 / 29,440 ( 2 % )                          ;
80
;     Dedicated logic registers      ; 604 / 29,440 ( 2 % )                          ;
81
; Total registers                    ; 640                                           ;
82
; Total pins                         ; 194 / 307 ( 63 % )                            ;
83
; Total virtual pins                 ; 0                                             ;
84
; Total memory bits                  ; 0 / 1,105,920 ( 0 % )                         ;
85
; Embedded Multiplier 9-bit elements ; 0 / 160 ( 0 % )                               ;
86
; Total GXB Receiver Channel PCS     ; 0 / 4 ( 0 % )                                 ;
87
; Total GXB Receiver Channel PMA     ; 0 / 4 ( 0 % )                                 ;
88
; Total GXB Transmitter Channel PCS  ; 0 / 4 ( 0 % )                                 ;
89
; Total GXB Transmitter Channel PMA  ; 0 / 4 ( 0 % )                                 ;
90
; Total PLLs                         ; 0 / 6 ( 0 % )                                 ;
91
+------------------------------------+-----------------------------------------------+
92
 
93
 
94
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
95
; Fitter Settings                                                                                                                                            ;
96
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
97
; Option                                                                     ; Setting                               ; Default Value                         ;
98
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
99
; Device                                                                     ; auto                                  ;                                       ;
100
; Fit Attempts to Skip                                                       ; 0                                     ; 0.0                                   ;
101
; Use smart compilation                                                      ; Off                                   ; Off                                   ;
102
; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                                    ; On                                    ;
103
; Enable compact report table                                                ; Off                                   ; Off                                   ;
104
; Auto Merge PLLs                                                            ; On                                    ; On                                    ;
105
; Router Timing Optimization Level                                           ; Normal                                ; Normal                                ;
106
; Perform Clocking Topology Analysis During Routing                          ; Off                                   ; Off                                   ;
107
; Placement Effort Multiplier                                                ; 1.0                                   ; 1.0                                   ;
108
; Router Effort Multiplier                                                   ; 1.0                                   ; 1.0                                   ;
109
; Optimize Hold Timing                                                       ; All Paths                             ; All Paths                             ;
110
; Optimize Multi-Corner Timing                                               ; On                                    ; On                                    ;
111
; PowerPlay Power Optimization                                               ; Normal compilation                    ; Normal compilation                    ;
112
; SSN Optimization                                                           ; Off                                   ; Off                                   ;
113
; Optimize Timing                                                            ; Normal compilation                    ; Normal compilation                    ;
114
; Optimize Timing for ECOs                                                   ; Off                                   ; Off                                   ;
115
; Regenerate full fit report during ECO compiles                             ; Off                                   ; Off                                   ;
116
; Optimize IOC Register Placement for Timing                                 ; Normal                                ; Normal                                ;
117
; Limit to One Fitting Attempt                                               ; Off                                   ; Off                                   ;
118
; Final Placement Optimizations                                              ; Automatically                         ; Automatically                         ;
119
; Fitter Aggressive Routability Optimizations                                ; Automatically                         ; Automatically                         ;
120
; Fitter Initial Placement Seed                                              ; 1                                     ; 1                                     ;
121
; PCI I/O                                                                    ; Off                                   ; Off                                   ;
122
; Weak Pull-Up Resistor                                                      ; Off                                   ; Off                                   ;
123
; Enable Bus-Hold Circuitry                                                  ; Off                                   ; Off                                   ;
124
; Auto Packed Registers                                                      ; Auto                                  ; Auto                                  ;
125
; Auto Delay Chains                                                          ; On                                    ; On                                    ;
126
; Allow Single-ended Buffer for Differential-XSTL Input                      ; Off                                   ; Off                                   ;
127
; Treat Bidirectional Pin as Output Pin                                      ; Off                                   ; Off                                   ;
128
; Perform Physical Synthesis for Combinational Logic for Fitting             ; Off                                   ; Off                                   ;
129
; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                                   ; Off                                   ;
130
; Perform Register Duplication for Performance                               ; Off                                   ; Off                                   ;
131
; Perform Logic to Memory Mapping for Fitting                                ; Off                                   ; Off                                   ;
132
; Perform Register Retiming for Performance                                  ; Off                                   ; Off                                   ;
133
; Perform Asynchronous Signal Pipelining                                     ; Off                                   ; Off                                   ;
134
; Fitter Effort                                                              ; Auto Fit                              ; Auto Fit                              ;
135
; Physical Synthesis Effort Level                                            ; Normal                                ; Normal                                ;
136
; Logic Cell Insertion - Logic Duplication                                   ; Auto                                  ; Auto                                  ;
137
; Auto Register Duplication                                                  ; Auto                                  ; Auto                                  ;
138
; Auto Global Clock                                                          ; On                                    ; On                                    ;
139
; Auto Global Register Control Signals                                       ; On                                    ; On                                    ;
140
; Generate GXB Reconfig MIF                                                  ; Off                                   ; Off                                   ;
141
; Reserve all unused pins                                                    ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ;
142
; Synchronizer Identification                                                ; Off                                   ; Off                                   ;
143
; Enable Beneficial Skew Optimization                                        ; On                                    ; On                                    ;
144
; Optimize Design for Metastability                                          ; On                                    ; On                                    ;
145
; Active Serial clock source                                                 ; FREQ_40MHz                            ; FREQ_40MHz                            ;
146
; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                                   ; Off                                   ;
147
; Enable input tri-state on active configuration pins in user mode           ; Off                                   ; Off                                   ;
148
+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+
149
 
150
 
151
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
152
+-------------------------------------+
153
; Parallel Compilation                ;
154
+----------------------------+--------+
155
; Processors                 ; Number ;
156
+----------------------------+--------+
157
; Number detected on machine ; 4      ;
158
; Maximum allowed            ; 1      ;
159
+----------------------------+--------+
160
 
161
 
162
+--------------------------------------------------+
163
; I/O Assignment Warnings                          ;
164
+------------------+-------------------------------+
165
; Pin Name         ; Reason                        ;
166
+------------------+-------------------------------+
167
; cbus_addr_o[0]   ; Incomplete set of assignments ;
168
; cbus_addr_o[1]   ; Incomplete set of assignments ;
169
; cbus_addr_o[2]   ; Incomplete set of assignments ;
170
; cbus_addr_o[3]   ; Incomplete set of assignments ;
171
; cbus_addr_o[4]   ; Incomplete set of assignments ;
172
; cbus_addr_o[5]   ; Incomplete set of assignments ;
173
; cbus_addr_o[6]   ; Incomplete set of assignments ;
174
; cbus_addr_o[7]   ; Incomplete set of assignments ;
175
; cbus_addr_o[8]   ; Incomplete set of assignments ;
176
; cbus_addr_o[9]   ; Incomplete set of assignments ;
177
; cbus_addr_o[10]  ; Incomplete set of assignments ;
178
; cbus_addr_o[11]  ; Incomplete set of assignments ;
179
; cbus_addr_o[12]  ; Incomplete set of assignments ;
180
; cbus_addr_o[13]  ; Incomplete set of assignments ;
181
; cbus_addr_o[14]  ; Incomplete set of assignments ;
182
; cbus_addr_o[15]  ; Incomplete set of assignments ;
183
; cbus_addr_o[16]  ; Incomplete set of assignments ;
184
; cbus_addr_o[17]  ; Incomplete set of assignments ;
185
; cbus_addr_o[18]  ; Incomplete set of assignments ;
186
; cbus_addr_o[19]  ; Incomplete set of assignments ;
187
; cbus_addr_o[20]  ; Incomplete set of assignments ;
188
; cbus_addr_o[21]  ; Incomplete set of assignments ;
189
; cbus_addr_o[22]  ; Incomplete set of assignments ;
190
; cbus_addr_o[23]  ; Incomplete set of assignments ;
191
; cbus_addr_o[24]  ; Incomplete set of assignments ;
192
; cbus_addr_o[25]  ; Incomplete set of assignments ;
193
; cbus_addr_o[26]  ; Incomplete set of assignments ;
194
; cbus_addr_o[27]  ; Incomplete set of assignments ;
195
; cbus_addr_o[28]  ; Incomplete set of assignments ;
196
; cbus_addr_o[29]  ; Incomplete set of assignments ;
197
; cbus_addr_o[30]  ; Incomplete set of assignments ;
198
; cbus_addr_o[31]  ; Incomplete set of assignments ;
199
; cbus_cmd3_o[0]   ; Incomplete set of assignments ;
200
; cbus_cmd3_o[1]   ; Incomplete set of assignments ;
201
; cbus_cmd3_o[2]   ; Incomplete set of assignments ;
202
; cbus_cmd2_o[0]   ; Incomplete set of assignments ;
203
; cbus_cmd2_o[1]   ; Incomplete set of assignments ;
204
; cbus_cmd2_o[2]   ; Incomplete set of assignments ;
205
; cbus_cmd1_o[0]   ; Incomplete set of assignments ;
206
; cbus_cmd1_o[1]   ; Incomplete set of assignments ;
207
; cbus_cmd1_o[2]   ; Incomplete set of assignments ;
208
; cbus_cmd0_o[0]   ; Incomplete set of assignments ;
209
; cbus_cmd0_o[1]   ; Incomplete set of assignments ;
210
; cbus_cmd0_o[2]   ; Incomplete set of assignments ;
211
; mbus_ack3_o      ; Incomplete set of assignments ;
212
; mbus_ack2_o      ; Incomplete set of assignments ;
213
; mbus_ack1_o      ; Incomplete set of assignments ;
214
; mbus_ack0_o      ; Incomplete set of assignments ;
215
; clk              ; Incomplete set of assignments ;
216
; rst              ; Incomplete set of assignments ;
217
; cbus_ack3_i      ; Incomplete set of assignments ;
218
; cbus_ack2_i      ; Incomplete set of assignments ;
219
; cbus_ack1_i      ; Incomplete set of assignments ;
220
; cbus_ack0_i      ; Incomplete set of assignments ;
221
; mbus_cmd3_i[0]   ; Incomplete set of assignments ;
222
; mbus_cmd3_i[2]   ; Incomplete set of assignments ;
223
; mbus_cmd3_i[1]   ; Incomplete set of assignments ;
224
; mbus_cmd2_i[0]   ; Incomplete set of assignments ;
225
; mbus_cmd2_i[1]   ; Incomplete set of assignments ;
226
; mbus_cmd2_i[2]   ; Incomplete set of assignments ;
227
; mbus_cmd1_i[2]   ; Incomplete set of assignments ;
228
; mbus_cmd1_i[0]   ; Incomplete set of assignments ;
229
; mbus_cmd1_i[1]   ; Incomplete set of assignments ;
230
; mbus_cmd0_i[0]   ; Incomplete set of assignments ;
231
; mbus_cmd0_i[1]   ; Incomplete set of assignments ;
232
; mbus_cmd0_i[2]   ; Incomplete set of assignments ;
233
; mbus_addr2_i[0]  ; Incomplete set of assignments ;
234
; mbus_addr3_i[0]  ; Incomplete set of assignments ;
235
; mbus_addr0_i[0]  ; Incomplete set of assignments ;
236
; mbus_addr1_i[0]  ; Incomplete set of assignments ;
237
; mbus_addr2_i[1]  ; Incomplete set of assignments ;
238
; mbus_addr3_i[1]  ; Incomplete set of assignments ;
239
; mbus_addr0_i[1]  ; Incomplete set of assignments ;
240
; mbus_addr1_i[1]  ; Incomplete set of assignments ;
241
; mbus_addr2_i[2]  ; Incomplete set of assignments ;
242
; mbus_addr3_i[2]  ; Incomplete set of assignments ;
243
; mbus_addr0_i[2]  ; Incomplete set of assignments ;
244
; mbus_addr1_i[2]  ; Incomplete set of assignments ;
245
; mbus_addr2_i[3]  ; Incomplete set of assignments ;
246
; mbus_addr3_i[3]  ; Incomplete set of assignments ;
247
; mbus_addr0_i[3]  ; Incomplete set of assignments ;
248
; mbus_addr1_i[3]  ; Incomplete set of assignments ;
249
; mbus_addr2_i[4]  ; Incomplete set of assignments ;
250
; mbus_addr3_i[4]  ; Incomplete set of assignments ;
251
; mbus_addr0_i[4]  ; Incomplete set of assignments ;
252
; mbus_addr1_i[4]  ; Incomplete set of assignments ;
253
; mbus_addr2_i[5]  ; Incomplete set of assignments ;
254
; mbus_addr3_i[5]  ; Incomplete set of assignments ;
255
; mbus_addr0_i[5]  ; Incomplete set of assignments ;
256
; mbus_addr1_i[5]  ; Incomplete set of assignments ;
257
; mbus_addr2_i[6]  ; Incomplete set of assignments ;
258
; mbus_addr3_i[6]  ; Incomplete set of assignments ;
259
; mbus_addr0_i[6]  ; Incomplete set of assignments ;
260
; mbus_addr1_i[6]  ; Incomplete set of assignments ;
261
; mbus_addr2_i[7]  ; Incomplete set of assignments ;
262
; mbus_addr3_i[7]  ; Incomplete set of assignments ;
263
; mbus_addr0_i[7]  ; Incomplete set of assignments ;
264
; mbus_addr1_i[7]  ; Incomplete set of assignments ;
265
; mbus_addr2_i[8]  ; Incomplete set of assignments ;
266
; mbus_addr3_i[8]  ; Incomplete set of assignments ;
267
; mbus_addr0_i[8]  ; Incomplete set of assignments ;
268
; mbus_addr1_i[8]  ; Incomplete set of assignments ;
269
; mbus_addr2_i[9]  ; Incomplete set of assignments ;
270
; mbus_addr3_i[9]  ; Incomplete set of assignments ;
271
; mbus_addr0_i[9]  ; Incomplete set of assignments ;
272
; mbus_addr1_i[9]  ; Incomplete set of assignments ;
273
; mbus_addr2_i[10] ; Incomplete set of assignments ;
274
; mbus_addr3_i[10] ; Incomplete set of assignments ;
275
; mbus_addr0_i[10] ; Incomplete set of assignments ;
276
; mbus_addr1_i[10] ; Incomplete set of assignments ;
277
; mbus_addr2_i[11] ; Incomplete set of assignments ;
278
; mbus_addr3_i[11] ; Incomplete set of assignments ;
279
; mbus_addr0_i[11] ; Incomplete set of assignments ;
280
; mbus_addr1_i[11] ; Incomplete set of assignments ;
281
; mbus_addr2_i[12] ; Incomplete set of assignments ;
282
; mbus_addr3_i[12] ; Incomplete set of assignments ;
283
; mbus_addr0_i[12] ; Incomplete set of assignments ;
284
; mbus_addr1_i[12] ; Incomplete set of assignments ;
285
; mbus_addr2_i[13] ; Incomplete set of assignments ;
286
; mbus_addr3_i[13] ; Incomplete set of assignments ;
287
; mbus_addr0_i[13] ; Incomplete set of assignments ;
288
; mbus_addr1_i[13] ; Incomplete set of assignments ;
289
; mbus_addr2_i[14] ; Incomplete set of assignments ;
290
; mbus_addr3_i[14] ; Incomplete set of assignments ;
291
; mbus_addr0_i[14] ; Incomplete set of assignments ;
292
; mbus_addr1_i[14] ; Incomplete set of assignments ;
293
; mbus_addr2_i[15] ; Incomplete set of assignments ;
294
; mbus_addr3_i[15] ; Incomplete set of assignments ;
295
; mbus_addr0_i[15] ; Incomplete set of assignments ;
296
; mbus_addr1_i[15] ; Incomplete set of assignments ;
297
; mbus_addr2_i[16] ; Incomplete set of assignments ;
298
; mbus_addr3_i[16] ; Incomplete set of assignments ;
299
; mbus_addr0_i[16] ; Incomplete set of assignments ;
300
; mbus_addr1_i[16] ; Incomplete set of assignments ;
301
; mbus_addr2_i[17] ; Incomplete set of assignments ;
302
; mbus_addr3_i[17] ; Incomplete set of assignments ;
303
; mbus_addr0_i[17] ; Incomplete set of assignments ;
304
; mbus_addr1_i[17] ; Incomplete set of assignments ;
305
; mbus_addr2_i[18] ; Incomplete set of assignments ;
306
; mbus_addr3_i[18] ; Incomplete set of assignments ;
307
; mbus_addr0_i[18] ; Incomplete set of assignments ;
308
; mbus_addr1_i[18] ; Incomplete set of assignments ;
309
; mbus_addr2_i[19] ; Incomplete set of assignments ;
310
; mbus_addr3_i[19] ; Incomplete set of assignments ;
311
; mbus_addr0_i[19] ; Incomplete set of assignments ;
312
; mbus_addr1_i[19] ; Incomplete set of assignments ;
313
; mbus_addr2_i[20] ; Incomplete set of assignments ;
314
; mbus_addr3_i[20] ; Incomplete set of assignments ;
315
; mbus_addr0_i[20] ; Incomplete set of assignments ;
316
; mbus_addr1_i[20] ; Incomplete set of assignments ;
317
; mbus_addr2_i[21] ; Incomplete set of assignments ;
318
; mbus_addr3_i[21] ; Incomplete set of assignments ;
319
; mbus_addr0_i[21] ; Incomplete set of assignments ;
320
; mbus_addr1_i[21] ; Incomplete set of assignments ;
321
; mbus_addr2_i[22] ; Incomplete set of assignments ;
322
; mbus_addr3_i[22] ; Incomplete set of assignments ;
323
; mbus_addr0_i[22] ; Incomplete set of assignments ;
324
; mbus_addr1_i[22] ; Incomplete set of assignments ;
325
; mbus_addr2_i[23] ; Incomplete set of assignments ;
326
; mbus_addr3_i[23] ; Incomplete set of assignments ;
327
; mbus_addr0_i[23] ; Incomplete set of assignments ;
328
; mbus_addr1_i[23] ; Incomplete set of assignments ;
329
; mbus_addr2_i[24] ; Incomplete set of assignments ;
330
; mbus_addr3_i[24] ; Incomplete set of assignments ;
331
; mbus_addr0_i[24] ; Incomplete set of assignments ;
332
; mbus_addr1_i[24] ; Incomplete set of assignments ;
333
; mbus_addr2_i[25] ; Incomplete set of assignments ;
334
; mbus_addr3_i[25] ; Incomplete set of assignments ;
335
; mbus_addr0_i[25] ; Incomplete set of assignments ;
336
; mbus_addr1_i[25] ; Incomplete set of assignments ;
337
; mbus_addr2_i[26] ; Incomplete set of assignments ;
338
; mbus_addr3_i[26] ; Incomplete set of assignments ;
339
; mbus_addr0_i[26] ; Incomplete set of assignments ;
340
; mbus_addr1_i[26] ; Incomplete set of assignments ;
341
; mbus_addr2_i[27] ; Incomplete set of assignments ;
342
; mbus_addr3_i[27] ; Incomplete set of assignments ;
343
; mbus_addr0_i[27] ; Incomplete set of assignments ;
344
; mbus_addr1_i[27] ; Incomplete set of assignments ;
345
; mbus_addr2_i[28] ; Incomplete set of assignments ;
346
; mbus_addr3_i[28] ; Incomplete set of assignments ;
347
; mbus_addr0_i[28] ; Incomplete set of assignments ;
348
; mbus_addr1_i[28] ; Incomplete set of assignments ;
349
; mbus_addr2_i[29] ; Incomplete set of assignments ;
350
; mbus_addr3_i[29] ; Incomplete set of assignments ;
351
; mbus_addr0_i[29] ; Incomplete set of assignments ;
352
; mbus_addr1_i[29] ; Incomplete set of assignments ;
353
; mbus_addr2_i[30] ; Incomplete set of assignments ;
354
; mbus_addr3_i[30] ; Incomplete set of assignments ;
355
; mbus_addr0_i[30] ; Incomplete set of assignments ;
356
; mbus_addr1_i[30] ; Incomplete set of assignments ;
357
; mbus_addr2_i[31] ; Incomplete set of assignments ;
358
; mbus_addr3_i[31] ; Incomplete set of assignments ;
359
; mbus_addr0_i[31] ; Incomplete set of assignments ;
360
; mbus_addr1_i[31] ; Incomplete set of assignments ;
361
+------------------+-------------------------------+
362
 
363
 
364
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
365
; Fitter Netlist Optimizations                                                                                                                                                                                                                                                                                                                                              ;
366
+-------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+
367
; Node                                                                                                        ; Action          ; Operation        ; Reason              ; Node Port ; Node Port Name ; Destination Node                                                                                                         ; Destination Port ; Destination Port Name ;
368
+-------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+
369
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0] ; Duplicated      ; Register Packing ; Timing optimization ; Q         ;                ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; Q                ;                       ;
370
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0] ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; mbus_ack0_o~output                                                                                                       ; I                ;                       ;
371
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1] ; Duplicated      ; Register Packing ; Timing optimization ; Q         ;                ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; Q                ;                       ;
372
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1] ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; mbus_ack1_o~output                                                                                                       ; I                ;                       ;
373
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2] ; Duplicated      ; Register Packing ; Timing optimization ; Q         ;                ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; Q                ;                       ;
374
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2] ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; mbus_ack2_o~output                                                                                                       ; I                ;                       ;
375
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3] ; Duplicated      ; Register Packing ; Timing optimization ; Q         ;                ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]~_Duplicate_1 ; Q                ;                       ;
376
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3] ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; mbus_ack3_o~output                                                                                                       ; I                ;                       ;
377
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[9]                                      ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[0]~output                                                                                                    ; I                ;                       ;
378
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[10]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[1]~output                                                                                                    ; I                ;                       ;
379
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[2]~output                                                                                                    ; I                ;                       ;
380
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[12]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[3]~output                                                                                                    ; I                ;                       ;
381
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[13]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[4]~output                                                                                                    ; I                ;                       ;
382
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[14]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[5]~output                                                                                                    ; I                ;                       ;
383
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[15]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[6]~output                                                                                                    ; I                ;                       ;
384
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[16]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[7]~output                                                                                                    ; I                ;                       ;
385
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[17]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[8]~output                                                                                                    ; I                ;                       ;
386
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[18]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[9]~output                                                                                                    ; I                ;                       ;
387
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[19]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[10]~output                                                                                                   ; I                ;                       ;
388
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[20]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[11]~output                                                                                                   ; I                ;                       ;
389
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[21]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[12]~output                                                                                                   ; I                ;                       ;
390
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[22]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[13]~output                                                                                                   ; I                ;                       ;
391
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[23]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[14]~output                                                                                                   ; I                ;                       ;
392
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[24]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[15]~output                                                                                                   ; I                ;                       ;
393
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[25]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[16]~output                                                                                                   ; I                ;                       ;
394
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[26]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[17]~output                                                                                                   ; I                ;                       ;
395
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[27]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[18]~output                                                                                                   ; I                ;                       ;
396
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[28]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[19]~output                                                                                                   ; I                ;                       ;
397
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[29]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[20]~output                                                                                                   ; I                ;                       ;
398
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[30]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[21]~output                                                                                                   ; I                ;                       ;
399
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[31]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[22]~output                                                                                                   ; I                ;                       ;
400
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[32]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[23]~output                                                                                                   ; I                ;                       ;
401
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[24]~output                                                                                                   ; I                ;                       ;
402
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[34]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[25]~output                                                                                                   ; I                ;                       ;
403
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[35]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[26]~output                                                                                                   ; I                ;                       ;
404
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[36]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[27]~output                                                                                                   ; I                ;                       ;
405
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[37]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[28]~output                                                                                                   ; I                ;                       ;
406
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[38]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[29]~output                                                                                                   ; I                ;                       ;
407
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[39]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[30]~output                                                                                                   ; I                ;                       ;
408
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[40]                                     ; Packed Register ; Register Packing ; Timing optimization ; Q         ;                ; cbus_addr_o[31]~output                                                                                                   ; I                ;                       ;
409
+-------------------------------------------------------------------------------------------------------------+-----------------+------------------+---------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+
410
 
411
 
412
+----------------------------------------------+
413
; Incremental Compilation Preservation Summary ;
414
+---------------------+------------------------+
415
; Type                ; Value                  ;
416
+---------------------+------------------------+
417
; Placement (by node) ;                        ;
418
;     -- Requested    ; 0 / 1518 ( 0.00 % )    ;
419
;     -- Achieved     ; 0 / 1518 ( 0.00 % )    ;
420
;                     ;                        ;
421
; Routing (by net)    ;                        ;
422
;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
423
;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
424
+---------------------+------------------------+
425
 
426
 
427
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
428
; Incremental Compilation Partition Settings                                                                                                                                             ;
429
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
430
; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
431
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
432
; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
433
; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
434
+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
435
 
436
 
437
+------------------------------------------------------------------------------------------------------------+
438
; Incremental Compilation Placement Preservation                                                             ;
439
+--------------------------------+---------+-------------------+-------------------------+-------------------+
440
; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
441
+--------------------------------+---------+-------------------+-------------------------+-------------------+
442
; Top                            ; 1508    ; 0                 ; N/A                     ; Source File       ;
443
; hard_block:auto_generated_inst ; 10      ; 0                 ; N/A                     ; Source File       ;
444
+--------------------------------+---------+-------------------+-------------------------+-------------------+
445
 
446
 
447
+--------------+
448
; Pin-Out File ;
449
+--------------+
450
The pin-out file can be found in /home/yair/Work/Projects/mesi_isc/syn/mesi_isc.pin.
451
 
452
 
453
+-------------------------------------------------------------------------------------------------------------------------+
454
; Fitter Resource Usage Summary                                                                                           ;
455
+---------------------------------------------+---------------------------------------------------------------------------+
456
; Resource                                    ; Usage                                                                     ;
457
+---------------------------------------------+---------------------------------------------------------------------------+
458
; Total logic elements                        ; 827 / 29,440 ( 3 % )                                                      ;
459
;     -- Combinational with no register       ; 223                                                                       ;
460
;     -- Register only                        ; 346                                                                       ;
461
;     -- Combinational with a register        ; 258                                                                       ;
462
;                                             ;                                                                           ;
463
; Logic element usage by number of LUT inputs ;                                                                           ;
464
;     -- 4 input functions                    ; 254                                                                       ;
465
;     -- 3 input functions                    ; 151                                                                       ;
466
;     -- <=2 input functions                  ; 76                                                                        ;
467
;     -- Register only                        ; 346                                                                       ;
468
;                                             ;                                                                           ;
469
; Logic elements by mode                      ;                                                                           ;
470
;     -- normal mode                          ; 481                                                                       ;
471
;     -- arithmetic mode                      ; 0                                                                         ;
472
;                                             ;                                                                           ;
473
; Total registers*                            ; 640 / 30,876 ( 2 % )                                                      ;
474
;     -- Dedicated logic registers            ; 604 / 29,440 ( 2 % )                                                      ;
475
;     -- I/O registers                        ; 36 / 1,436 ( 3 % )                                                        ;
476
;                                             ;                                                                           ;
477
; Total LABs:  partially or completely used   ; 145 / 1,840 ( 8 % )                                                       ;
478
; User inserted logic elements                ; 0                                                                         ;
479
; Virtual pins                                ; 0                                                                         ;
480
; I/O pins                                    ; 194 / 307 ( 63 % )                                                        ;
481
;     -- Clock pins                           ; 4 / 8 ( 50 % )                                                            ;
482
;     -- Dedicated input pins                 ; 0 / 17 ( 0 % )                                                            ;
483
;                                             ;                                                                           ;
484
; Global signals                              ; 2                                                                         ;
485
; M9Ks                                        ; 0 / 120 ( 0 % )                                                           ;
486
; Total block memory bits                     ; 0 / 1,105,920 ( 0 % )                                                     ;
487
; Total block memory implementation bits      ; 0 / 1,105,920 ( 0 % )                                                     ;
488
; Embedded Multiplier 9-bit elements          ; 0 / 160 ( 0 % )                                                           ;
489
; PLLs                                        ; 0 / 6 ( 0 % )                                                             ;
490
; Global clocks                               ; 2 / 30 ( 7 % )                                                            ;
491
; JTAGs                                       ; 0 / 1 ( 0 % )                                                             ;
492
; CRC blocks                                  ; 0 / 1 ( 0 % )                                                             ;
493
; ASMI blocks                                 ; 0 / 1 ( 0 % )                                                             ;
494
; GXB Receiver channel PCSs                   ; 0 / 4 ( 0 % )                                                             ;
495
; GXB Receiver channel PMAs                   ; 0 / 4 ( 0 % )                                                             ;
496
; GXB Transmitter channel PCSs                ; 0 / 4 ( 0 % )                                                             ;
497
; GXB Transmitter channel PMAs                ; 0 / 4 ( 0 % )                                                             ;
498
; Impedance control blocks                    ; 0 / 3 ( 0 % )                                                             ;
499
; Average interconnect usage (total/H/V)      ; 1% / 1% / 1%                                                              ;
500
; Peak interconnect usage (total/H/V)         ; 11% / 9% / 14%                                                            ;
501
; Maximum fan-out node                        ; clk~inputclkctrl                                                          ;
502
; Maximum fan-out                             ; 640                                                                       ;
503
; Highest non-global fan-out signal           ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[21]~0 ;
504
; Highest non-global fan-out                  ; 54                                                                        ;
505
; Total fan-out                               ; 4809                                                                      ;
506
; Average fan-out                             ; 2.61                                                                      ;
507
+---------------------------------------------+---------------------------------------------------------------------------+
508
*  Register count does not include registers inside RAM blocks or DSP blocks.
509
 
510
 
511
 
512
+----------------------------------------------------------------------------------------------------+
513
; Fitter Partition Statistics                                                                        ;
514
+---------------------------------------------+---------------------+--------------------------------+
515
; Statistic                                   ; Top                 ; hard_block:auto_generated_inst ;
516
+---------------------------------------------+---------------------+--------------------------------+
517
; Difficulty Clustering Region                ; Low                 ; Low                            ;
518
;                                             ;                     ;                                ;
519
; Total logic elements                        ; 827 / 29440 ( 3 % ) ; 0 / 29440 ( 0 % )              ;
520
;     -- Combinational with no register       ; 223                 ; 0                              ;
521
;     -- Register only                        ; 346                 ; 0                              ;
522
;     -- Combinational with a register        ; 258                 ; 0                              ;
523
;                                             ;                     ;                                ;
524
; Logic element usage by number of LUT inputs ;                     ;                                ;
525
;     -- 4 input functions                    ; 254                 ; 0                              ;
526
;     -- 3 input functions                    ; 151                 ; 0                              ;
527
;     -- <=2 input functions                  ; 76                  ; 0                              ;
528
;     -- Register only                        ; 346                 ; 0                              ;
529
;                                             ;                     ;                                ;
530
; Logic elements by mode                      ;                     ;                                ;
531
;     -- normal mode                          ; 481                 ; 0                              ;
532
;     -- arithmetic mode                      ; 0                   ; 0                              ;
533
;                                             ;                     ;                                ;
534
; Total registers                             ; 640                 ; 0                              ;
535
;     -- Dedicated logic registers            ; 604 / 29440 ( 2 % ) ; 0 / 29440 ( 0 % )              ;
536
;     -- I/O registers                        ; 72                  ; 0                              ;
537
;                                             ;                     ;                                ;
538
; Total LABs:  partially or completely used   ; 145 / 1840 ( 8 % )  ; 0 / 1840 ( 0 % )               ;
539
;                                             ;                     ;                                ;
540
; Virtual pins                                ; 0                   ; 0                              ;
541
; I/O pins                                    ; 194                 ; 0                              ;
542
; Embedded Multiplier 9-bit elements          ; 0 / 160 ( 0 % )     ; 0 / 160 ( 0 % )                ;
543
; Total memory bits                           ; 0                   ; 0                              ;
544
; Total RAM block bits                        ; 0                   ; 0                              ;
545
; Clock control block                         ; 2 / 38 ( 5 % )      ; 0 / 38 ( 0 % )                 ;
546
; Double Data Rate I/O output circuitry       ; 36 / 291 ( 12 % )   ; 0 / 291 ( 0 % )                ;
547
;                                             ;                     ;                                ;
548
; Connections                                 ;                     ;                                ;
549
;     -- Input Connections                    ; 0                   ; 0                              ;
550
;     -- Registered Input Connections         ; 0                   ; 0                              ;
551
;     -- Output Connections                   ; 0                   ; 0                              ;
552
;     -- Registered Output Connections        ; 0                   ; 0                              ;
553
;                                             ;                     ;                                ;
554
; Internal Connections                        ;                     ;                                ;
555
;     -- Total Connections                    ; 4804                ; 5                              ;
556
;     -- Registered Connections               ; 1032                ; 0                              ;
557
;                                             ;                     ;                                ;
558
; External Connections                        ;                     ;                                ;
559
;     -- Top                                  ; 0                   ; 0                              ;
560
;     -- hard_block:auto_generated_inst       ; 0                   ; 0                              ;
561
;                                             ;                     ;                                ;
562
; Partition Interface                         ;                     ;                                ;
563
;     -- Input Ports                          ; 146                 ; 0                              ;
564
;     -- Output Ports                         ; 48                  ; 0                              ;
565
;     -- Bidir Ports                          ; 0                   ; 0                              ;
566
;                                             ;                     ;                                ;
567
; Registered Ports                            ;                     ;                                ;
568
;     -- Registered Input Ports               ; 0                   ; 0                              ;
569
;     -- Registered Output Ports              ; 0                   ; 0                              ;
570
;                                             ;                     ;                                ;
571
; Port Connectivity                           ;                     ;                                ;
572
;     -- Input Ports driven by GND            ; 0                   ; 0                              ;
573
;     -- Output Ports driven by GND           ; 0                   ; 0                              ;
574
;     -- Input Ports driven by VCC            ; 0                   ; 0                              ;
575
;     -- Output Ports driven by VCC           ; 0                   ; 0                              ;
576
;     -- Input Ports with no Source           ; 0                   ; 0                              ;
577
;     -- Output Ports with no Source          ; 0                   ; 0                              ;
578
;     -- Input Ports with no Fanout           ; 0                   ; 0                              ;
579
;     -- Output Ports with no Fanout          ; 0                   ; 0                              ;
580
+---------------------------------------------+---------------------+--------------------------------+
581
 
582
 
583
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
584
; Input Pins                                                                                                                                                                                                                                                                                          ;
585
+------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+
586
; Name             ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Termination Control Block ; Location assigned by ;
587
+------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+
588
; cbus_ack0_i      ; W18   ; 4        ; 68           ; 0            ; 14           ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
589
; cbus_ack1_i      ; J19   ; 6        ; 81           ; 42           ; 0            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
590
; cbus_ack2_i      ; AB12  ; 4        ; 38           ; 0            ; 0            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
591
; cbus_ack3_i      ; AA12  ; 4        ; 38           ; 0            ; 7            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
592
; clk              ; N11   ; 3A       ; 38           ; 0            ; 14           ; 640                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
593
; mbus_addr0_i[0]  ; U12   ; 3        ; 31           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
594
; mbus_addr0_i[10] ; Y16   ; 4        ; 54           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
595
; mbus_addr0_i[11] ; L15   ; 5        ; 81           ; 14           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
596
; mbus_addr0_i[12] ; W15   ; 4        ; 49           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
597
; mbus_addr0_i[13] ; R20   ; 5        ; 81           ; 10           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
598
; mbus_addr0_i[14] ; Y11   ; 3        ; 31           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
599
; mbus_addr0_i[15] ; AA9   ; 3        ; 26           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
600
; mbus_addr0_i[16] ; Y8    ; 3        ; 26           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
601
; mbus_addr0_i[17] ; M22   ; 5        ; 81           ; 34           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
602
; mbus_addr0_i[18] ; Y9    ; 3        ; 26           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
603
; mbus_addr0_i[19] ; AB11  ; 3        ; 33           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
604
; mbus_addr0_i[1]  ; W10   ; 3        ; 22           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
605
; mbus_addr0_i[20] ; Y10   ; 3        ; 31           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
606
; mbus_addr0_i[21] ; AB18  ; 4        ; 56           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
607
; mbus_addr0_i[22] ; Y14   ; 4        ; 47           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
608
; mbus_addr0_i[23] ; W12   ; 3        ; 33           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
609
; mbus_addr0_i[24] ; W22   ; 5        ; 81           ; 3            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
610
; mbus_addr0_i[25] ; AB5   ; 3        ; 19           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
611
; mbus_addr0_i[26] ; AB7   ; 3        ; 22           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
612
; mbus_addr0_i[27] ; R13   ; 4        ; 40           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
613
; mbus_addr0_i[28] ; AB6   ; 3        ; 19           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
614
; mbus_addr0_i[29] ; W17   ; 4        ; 56           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
615
; mbus_addr0_i[2]  ; AB9   ; 3        ; 29           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
616
; mbus_addr0_i[30] ; AA7   ; 3        ; 22           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
617
; mbus_addr0_i[31] ; V13   ; 4        ; 44           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
618
; mbus_addr0_i[3]  ; AB8   ; 3        ; 29           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
619
; mbus_addr0_i[4]  ; AA10  ; 3        ; 31           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
620
; mbus_addr0_i[5]  ; W9    ; 3        ; 24           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
621
; mbus_addr0_i[6]  ; AB10  ; 3        ; 33           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
622
; mbus_addr0_i[7]  ; W11   ; 3        ; 29           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
623
; mbus_addr0_i[8]  ; U14   ; 4        ; 49           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
624
; mbus_addr0_i[9]  ; Y12   ; 3        ; 33           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
625
; mbus_addr1_i[0]  ; G18   ; 6        ; 81           ; 63           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
626
; mbus_addr1_i[10] ; P22   ; 5        ; 81           ; 16           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
627
; mbus_addr1_i[11] ; V21   ; 5        ; 81           ; 6            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
628
; mbus_addr1_i[12] ; N20   ; 5        ; 81           ; 20           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
629
; mbus_addr1_i[13] ; A18   ; 7        ; 65           ; 67           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
630
; mbus_addr1_i[14] ; D19   ; 6        ; 81           ; 59           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
631
; mbus_addr1_i[15] ; AA19  ; 4        ; 58           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
632
; mbus_addr1_i[16] ; F17   ; 7        ; 70           ; 67           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
633
; mbus_addr1_i[17] ; M21   ; 5        ; 81           ; 34           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
634
; mbus_addr1_i[18] ; L22   ; 6        ; 81           ; 34           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
635
; mbus_addr1_i[19] ; B21   ; 6        ; 81           ; 59           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
636
; mbus_addr1_i[1]  ; T20   ; 5        ; 81           ; 8            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
637
; mbus_addr1_i[20] ; K17   ; 6        ; 81           ; 62           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
638
; mbus_addr1_i[21] ; J16   ; 6        ; 81           ; 62           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
639
; mbus_addr1_i[22] ; C19   ; 6        ; 81           ; 61           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
640
; mbus_addr1_i[23] ; F20   ; 6        ; 81           ; 50           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
641
; mbus_addr1_i[24] ; C20   ; 6        ; 81           ; 61           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
642
; mbus_addr1_i[25] ; R16   ; 5        ; 81           ; 2            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
643
; mbus_addr1_i[26] ; T16   ; 4        ; 63           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
644
; mbus_addr1_i[27] ; M17   ; 5        ; 81           ; 17           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
645
; mbus_addr1_i[28] ; AB21  ; 4        ; 65           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
646
; mbus_addr1_i[29] ; P13   ; 5        ; 81           ; 6            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
647
; mbus_addr1_i[2]  ; J15   ; 6        ; 81           ; 41           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
648
; mbus_addr1_i[30] ; L14   ; 5        ; 81           ; 16           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
649
; mbus_addr1_i[31] ; V22   ; 5        ; 81           ; 9            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
650
; mbus_addr1_i[3]  ; V20   ; 5        ; 81           ; 7            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
651
; mbus_addr1_i[4]  ; L13   ; 5        ; 81           ; 19           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
652
; mbus_addr1_i[5]  ; H21   ; 6        ; 81           ; 47           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
653
; mbus_addr1_i[6]  ; M15   ; 5        ; 81           ; 12           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
654
; mbus_addr1_i[7]  ; P20   ; 5        ; 81           ; 11           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
655
; mbus_addr1_i[8]  ; A20   ; 6        ; 81           ; 61           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
656
; mbus_addr1_i[9]  ; E20   ; 6        ; 81           ; 49           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
657
; mbus_addr2_i[0]  ; A22   ; 6        ; 81           ; 56           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
658
; mbus_addr2_i[10] ; C12   ; 7        ; 54           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
659
; mbus_addr2_i[11] ; H17   ; 6        ; 81           ; 55           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
660
; mbus_addr2_i[12] ; A15   ; 7        ; 58           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
661
; mbus_addr2_i[13] ; B19   ; 6        ; 81           ; 62           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
662
; mbus_addr2_i[14] ; B22   ; 6        ; 81           ; 55           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
663
; mbus_addr2_i[15] ; A14   ; 7        ; 54           ; 67           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
664
; mbus_addr2_i[16] ; D22   ; 6        ; 81           ; 53           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
665
; mbus_addr2_i[17] ; N21   ; 5        ; 81           ; 23           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
666
; mbus_addr2_i[18] ; N17   ; 5        ; 81           ; 19           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
667
; mbus_addr2_i[19] ; L21   ; 6        ; 81           ; 34           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
668
; mbus_addr2_i[1]  ; H13   ; 7        ; 44           ; 67           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
669
; mbus_addr2_i[20] ; C10   ; 7        ; 47           ; 67           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
670
; mbus_addr2_i[21] ; W20   ; 5        ; 81           ; 5            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
671
; mbus_addr2_i[22] ; D20   ; 6        ; 81           ; 58           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
672
; mbus_addr2_i[23] ; N15   ; 5        ; 81           ; 4            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
673
; mbus_addr2_i[24] ; T21   ; 5        ; 81           ; 11           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
674
; mbus_addr2_i[25] ; B16   ; 7        ; 63           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
675
; mbus_addr2_i[26] ; R21   ; 5        ; 81           ; 10           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
676
; mbus_addr2_i[27] ; P14   ; 5        ; 81           ; 6            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
677
; mbus_addr2_i[28] ; N22   ; 5        ; 81           ; 21           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
678
; mbus_addr2_i[29] ; R19   ; 5        ; 81           ; 8            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
679
; mbus_addr2_i[2]  ; H14   ; 7        ; 49           ; 67           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
680
; mbus_addr2_i[30] ; AA21  ; 4        ; 65           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
681
; mbus_addr2_i[31] ; U22   ; 5        ; 81           ; 9            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
682
; mbus_addr2_i[3]  ; J12   ; 7        ; 49           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
683
; mbus_addr2_i[4]  ; K12   ; 7        ; 49           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
684
; mbus_addr2_i[5]  ; D13   ; 7        ; 54           ; 67           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
685
; mbus_addr2_i[6]  ; B20   ; 6        ; 81           ; 59           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
686
; mbus_addr2_i[7]  ; A11   ; 7        ; 44           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
687
; mbus_addr2_i[8]  ; D14   ; 7        ; 56           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
688
; mbus_addr2_i[9]  ; C13   ; 7        ; 54           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
689
; mbus_addr3_i[0]  ; C11   ; 7        ; 47           ; 67           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
690
; mbus_addr3_i[10] ; N14   ; 5        ; 81           ; 12           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
691
; mbus_addr3_i[11] ; U18   ; 5        ; 81           ; 3            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
692
; mbus_addr3_i[12] ; D16   ; 7        ; 63           ; 67           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
693
; mbus_addr3_i[13] ; G15   ; 7        ; 52           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
694
; mbus_addr3_i[14] ; R22   ; 5        ; 81           ; 17           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
695
; mbus_addr3_i[15] ; A17   ; 7        ; 58           ; 67           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
696
; mbus_addr3_i[16] ; W14   ; 4        ; 44           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
697
; mbus_addr3_i[17] ; AA13  ; 4        ; 42           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
698
; mbus_addr3_i[18] ; A13   ; 7        ; 56           ; 67           ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
699
; mbus_addr3_i[19] ; Y17   ; 4        ; 56           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
700
; mbus_addr3_i[1]  ; G14   ; 7        ; 52           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
701
; mbus_addr3_i[20] ; R17   ; 5        ; 81           ; 2            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
702
; mbus_addr3_i[21] ; E22   ; 6        ; 81           ; 52           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
703
; mbus_addr3_i[22] ; U20   ; 5        ; 81           ; 7            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
704
; mbus_addr3_i[23] ; AA18  ; 4        ; 58           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
705
; mbus_addr3_i[24] ; AB13  ; 4        ; 42           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
706
; mbus_addr3_i[25] ; T15   ; 4        ; 58           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
707
; mbus_addr3_i[26] ; M14   ; 5        ; 81           ; 14           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
708
; mbus_addr3_i[27] ; T22   ; 5        ; 81           ; 10           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
709
; mbus_addr3_i[28] ; D15   ; 7        ; 58           ; 67           ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
710
; mbus_addr3_i[29] ; T18   ; 5        ; 81           ; 2            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
711
; mbus_addr3_i[2]  ; T14   ; 4        ; 49           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
712
; mbus_addr3_i[30] ; R15   ; 4        ; 58           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
713
; mbus_addr3_i[31] ; AB16  ; 4        ; 54           ; 0            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
714
; mbus_addr3_i[3]  ; Y22   ; 5        ; 81           ; 4            ; 21           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
715
; mbus_addr3_i[4]  ; P15   ; 5        ; 81           ; 4            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
716
; mbus_addr3_i[5]  ; N13   ; 5        ; 81           ; 14           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
717
; mbus_addr3_i[6]  ; AB14  ; 4        ; 44           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
718
; mbus_addr3_i[7]  ; W21   ; 5        ; 81           ; 4            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
719
; mbus_addr3_i[8]  ; T19   ; 5        ; 81           ; 7            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
720
; mbus_addr3_i[9]  ; F22   ; 6        ; 81           ; 50           ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
721
; mbus_cmd0_i[0]   ; AA16  ; 4        ; 54           ; 0            ; 7            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
722
; mbus_cmd0_i[1]   ; U15   ; 4        ; 52           ; 0            ; 14           ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
723
; mbus_cmd0_i[2]   ; W16   ; 4        ; 52           ; 0            ; 7            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
724
; mbus_cmd1_i[0]   ; AB22  ; 4        ; 70           ; 0            ; 14           ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
725
; mbus_cmd1_i[1]   ; W19   ; 4        ; 70           ; 0            ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
726
; mbus_cmd1_i[2]   ; Y20   ; 4        ; 70           ; 0            ; 0            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
727
; mbus_cmd2_i[0]   ; G21   ; 6        ; 81           ; 49           ; 14           ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
728
; mbus_cmd2_i[1]   ; K19   ; 6        ; 81           ; 46           ; 0            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
729
; mbus_cmd2_i[2]   ; K20   ; 6        ; 81           ; 46           ; 7            ; 2                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
730
; mbus_cmd3_i[0]   ; L16   ; 5        ; 81           ; 25           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
731
; mbus_cmd3_i[1]   ; N19   ; 5        ; 81           ; 21           ; 7            ; 3                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
732
; mbus_cmd3_i[2]   ; M20   ; 5        ; 81           ; 25           ; 14           ; 4                     ; 0                  ; no     ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
733
; rst              ; M11   ; 3A       ; 38           ; 0            ; 21           ; 640                   ; 0                  ; yes    ; no             ; no            ; yes             ; no       ; Off          ; 2.5 V        ; Off         ; --                        ; Fitter               ;
734
+------------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+
735
 
736
 
737
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
738
; Output Pins                                                                                                                                                                                                                                                                                                                                                                                                                                                      ;
739
+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
740
; Name            ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination                       ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ;
741
+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
742
; cbus_addr_o[0]  ; AB20  ; 4        ; 65           ; 0            ; 14           ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
743
; cbus_addr_o[10] ; M19   ; 5        ; 81           ; 26           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
744
; cbus_addr_o[11] ; W13   ; 4        ; 40           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
745
; cbus_addr_o[12] ; A21   ; 6        ; 81           ; 58           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
746
; cbus_addr_o[13] ; D17   ; 7        ; 65           ; 67           ; 14           ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
747
; cbus_addr_o[14] ; H20   ; 6        ; 81           ; 47           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
748
; cbus_addr_o[15] ; D21   ; 6        ; 81           ; 53           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
749
; cbus_addr_o[16] ; M13   ; 5        ; 81           ; 20           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
750
; cbus_addr_o[17] ; R14   ; 4        ; 47           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
751
; cbus_addr_o[18] ; J14   ; 7        ; 49           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
752
; cbus_addr_o[19] ; Y13   ; 4        ; 42           ; 0            ; 14           ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
753
; cbus_addr_o[1]  ; M18   ; 5        ; 81           ; 26           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
754
; cbus_addr_o[20] ; B13   ; 7        ; 52           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
755
; cbus_addr_o[21] ; C14   ; 7        ; 56           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
756
; cbus_addr_o[22] ; AB19  ; 4        ; 61           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
757
; cbus_addr_o[23] ; AB17  ; 4        ; 54           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
758
; cbus_addr_o[24] ; C15   ; 7        ; 58           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
759
; cbus_addr_o[25] ; T17   ; 5        ; 81           ; 2            ; 21           ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
760
; cbus_addr_o[26] ; B15   ; 7        ; 56           ; 67           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
761
; cbus_addr_o[27] ; AA20  ; 4        ; 63           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
762
; cbus_addr_o[28] ; AB15  ; 4        ; 44           ; 0            ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
763
; cbus_addr_o[29] ; A19   ; 7        ; 65           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
764
; cbus_addr_o[2]  ; B12   ; 7        ; 52           ; 67           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
765
; cbus_addr_o[30] ; J22   ; 6        ; 81           ; 44           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
766
; cbus_addr_o[31] ; T13   ; 4        ; 40           ; 0            ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
767
; cbus_addr_o[3]  ; Y15   ; 4        ; 49           ; 0            ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
768
; cbus_addr_o[4]  ; C16   ; 7        ; 61           ; 67           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
769
; cbus_addr_o[5]  ; E17   ; 7        ; 63           ; 67           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
770
; cbus_addr_o[6]  ; A16   ; 7        ; 61           ; 67           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
771
; cbus_addr_o[7]  ; H22   ; 6        ; 81           ; 43           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
772
; cbus_addr_o[8]  ; G20   ; 6        ; 81           ; 49           ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
773
; cbus_addr_o[9]  ; Y18   ; 4        ; 56           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
774
; cbus_cmd0_o[0]  ; C18   ; 7        ; 70           ; 67           ; 21           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
775
; cbus_cmd0_o[1]  ; E21   ; 6        ; 81           ; 52           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
776
; cbus_cmd0_o[2]  ; L20   ; 6        ; 81           ; 39           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
777
; cbus_cmd1_o[0]  ; F16   ; 7        ; 70           ; 67           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
778
; cbus_cmd1_o[1]  ; G22   ; 6        ; 81           ; 52           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
779
; cbus_cmd1_o[2]  ; J21   ; 6        ; 81           ; 44           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
780
; cbus_cmd2_o[0]  ; C22   ; 6        ; 81           ; 56           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
781
; cbus_cmd2_o[1]  ; C17   ; 7        ; 70           ; 67           ; 14           ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
782
; cbus_cmd2_o[2]  ; AA22  ; 4        ; 68           ; 0            ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
783
; cbus_cmd3_o[0]  ; B18   ; 7        ; 68           ; 67           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
784
; cbus_cmd3_o[1]  ; J20   ; 6        ; 81           ; 42           ; 7            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
785
; cbus_cmd3_o[2]  ; L19   ; 6        ; 81           ; 39           ; 0            ; no              ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
786
; mbus_ack0_o     ; AA15  ; 4        ; 52           ; 0            ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
787
; mbus_ack1_o     ; Y19   ; 4        ; 68           ; 0            ; 7            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
788
; mbus_ack2_o     ; K22   ; 6        ; 81           ; 46           ; 14           ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
789
; mbus_ack3_o     ; M16   ; 5        ; 81           ; 25           ; 0            ; yes             ; no                     ; no            ; 2         ; no              ; no         ; no            ; no       ; Off          ; 2.5 V        ; Default          ; Series 50 Ohm without Calibration ; --                        ; no                         ; no                          ; Fitter               ; -                    ; -                   ;
790
+-----------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+
791
 
792
 
793
+---------------------------------------------------------------------------------------------------------------+
794
; Dual Purpose and Dedicated Pins                                                                               ;
795
+----------+-----------------------+--------------------------+---------------------+---------------------------+
796
; Location ; Pin Name              ; Reserved As              ; User Signal Name    ; Pin Type                  ;
797
+----------+-----------------------+--------------------------+---------------------+---------------------------+
798
; P4       ; MSEL3                 ; -                        ; -                   ; Dedicated Programming Pin ;
799
; R5       ; MSEL2                 ; -                        ; -                   ; Dedicated Programming Pin ;
800
; P5       ; MSEL1                 ; -                        ; -                   ; Dedicated Programming Pin ;
801
; T6       ; MSEL0                 ; -                        ; -                   ; Dedicated Programming Pin ;
802
; U5       ; CONF_DONE             ; -                        ; -                   ; Dedicated Programming Pin ;
803
; R8       ; nSTATUS               ; -                        ; -                   ; Dedicated Programming Pin ;
804
; AB3      ; DIFFIO_B3n, NCEO      ; Use as programming pin   ; ~ALTERA_NCEO~       ; Dual Purpose Pin          ;
805
; P14      ; DIFFIO_R44n, DEV_OE   ; Use as regular IO        ; mbus_addr2_i[27]    ; Dual Purpose Pin          ;
806
; P13      ; DIFFIO_R44p, DEV_CLRn ; Use as regular IO        ; mbus_addr1_i[29]    ; Dual Purpose Pin          ;
807
; K4       ; DATA0                 ; As input tri-stated      ; ~ALTERA_DATA0~      ; Dual Purpose Pin          ;
808
; D1       ; DATA1, ASDO           ; As input tri-stated      ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin          ;
809
; J4       ; NCSO                  ; As input tri-stated      ; ~ALTERA_NCSO~       ; Dual Purpose Pin          ;
810
; D3       ; DCLK                  ; As output driving ground ; ~ALTERA_DCLK~       ; Dual Purpose Pin          ;
811
; H4       ; nCONFIG               ; -                        ; -                   ; Dedicated Programming Pin ;
812
; D2       ; nCE                   ; -                        ; -                   ; Dedicated Programming Pin ;
813
+----------+-----------------------+--------------------------+---------------------+---------------------------+
814
 
815
 
816
+--------------------------------------------------------------------------------+
817
; I/O Bank Usage                                                                 ;
818
+----------+-------------------+---------------+--------------+------------------+
819
; I/O Bank ; Usage             ; VCCIO Voltage ; VREF Voltage ; VCCCLKIN Voltage ;
820
+----------+-------------------+---------------+--------------+------------------+
821
; QL1      ; 0 / 0 ( -- )      ; --            ; --           ; --               ;
822
; QL0      ; 0 / 16 ( 0 % )    ; --            ; --           ; --               ;
823
; 3        ; 21 / 46 ( 46 % )  ; 2.5V          ; --           ; --               ;
824
; 3B       ; 0 / 4 ( 0 % )     ; --            ; --           ; 2.5V             ;
825
; 3A       ; 2 / 2 ( 100 % )   ; --            ; --           ; 2.5V             ;
826
; 4        ; 45 / 45 ( 100 % ) ; 2.5V          ; --           ; --               ;
827
; 5        ; 49 / 49 ( 100 % ) ; 2.5V          ; --           ; --               ;
828
; 6        ; 41 / 49 ( 84 % )  ; 2.5V          ; --           ; --               ;
829
; 7        ; 37 / 46 ( 80 % )  ; 2.5V          ; --           ; --               ;
830
; 8A       ; 0 / 2 ( 0 % )     ; --            ; --           ; 2.5V             ;
831
; 8        ; 0 / 44 ( 0 % )    ; 2.5V          ; --           ; --               ;
832
; 8B       ; 0 / 0 ( -- )      ; --            ; --           ; --               ;
833
; 9        ; 4 / 4 ( 100 % )   ; 2.5V          ; --           ; --               ;
834
+----------+-------------------+---------------+--------------+------------------+
835
 
836
 
837
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
838
; All Package Pins                                                                                                                                                                    ;
839
+----------+------------+----------+-------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
840
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                                        ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
841
+----------+------------+----------+-------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
842
; A1       ; 306        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
843
; A2       ; 300        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
844
; A3       ; 301        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
845
; A4       ; 297        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
846
; A5       ; 298        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
847
; A6       ; 295        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
848
; A7       ; 296        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
849
; A8       ; 293        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
850
; A9       ; 289        ; 7        ; GND+                                                  ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
851
; A10      ; 285        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
852
; A11      ; 283        ; 7        ; mbus_addr2_i[7]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
853
; A12      ; 284        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
854
; A13      ; 265        ; 7        ; mbus_addr3_i[18]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
855
; A14      ; 266        ; 7        ; mbus_addr2_i[15]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
856
; A15      ; 261        ; 7        ; mbus_addr2_i[12]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
857
; A16      ; 257        ; 7        ; cbus_addr_o[6]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
858
; A17      ; 258        ; 7        ; mbus_addr3_i[15]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
859
; A18      ; 250        ; 7        ; mbus_addr1_i[13]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
860
; A19      ; 251        ; 7        ; cbus_addr_o[29]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
861
; A20      ; 230        ; 6        ; mbus_addr1_i[8]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
862
; A21      ; 223        ; 6        ; cbus_addr_o[12]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
863
; A22      ; 222        ; 6        ; mbus_addr2_i[0]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
864
; AA1      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
865
; AA2      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
866
; AA3      ;            ;          ; NC                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
867
; AA4      ; 53         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
868
; AA5      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
869
; AA6      ; 68         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
870
; AA7      ; 70         ; 3        ; mbus_addr0_i[30]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
871
; AA8      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
872
; AA9      ; 76         ; 3        ; mbus_addr0_i[15]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
873
; AA10     ; 82         ; 3        ; mbus_addr0_i[4]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
874
; AA11     ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
875
; AA12     ; 90         ; 4        ; cbus_ack3_i                                           ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
876
; AA13     ; 96         ; 4        ; mbus_addr3_i[17]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
877
; AA14     ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
878
; AA15     ; 110        ; 4        ; mbus_ack0_o                                           ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
879
; AA16     ; 113        ; 4        ; mbus_cmd0_i[0]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
880
; AA17     ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
881
; AA18     ; 119        ; 4        ; mbus_addr3_i[23]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
882
; AA19     ; 122        ; 4        ; mbus_addr1_i[15]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
883
; AA20     ; 125        ; 4        ; cbus_addr_o[27]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
884
; AA21     ; 127        ; 4        ; mbus_addr2_i[30]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
885
; AA22     ; 131        ; 4        ; cbus_cmd2_o[2]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
886
; AB1      ;            ;          ; RREF                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
887
; AB2      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
888
; AB3      ; 54         ; 3        ; ~ALTERA_NCEO~ / RESERVED_OUTPUT_OPEN_DRAIN            ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
889
; AB4      ; 66         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
890
; AB5      ; 67         ; 3        ; mbus_addr0_i[25]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
891
; AB6      ; 69         ; 3        ; mbus_addr0_i[28]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
892
; AB7      ; 71         ; 3        ; mbus_addr0_i[26]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
893
; AB8      ; 77         ; 3        ; mbus_addr0_i[3]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
894
; AB9      ; 78         ; 3        ; mbus_addr0_i[2]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
895
; AB10     ; 86         ; 3        ; mbus_addr0_i[6]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
896
; AB11     ; 87         ; 3        ; mbus_addr0_i[19]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
897
; AB12     ; 91         ; 4        ; cbus_ack2_i                                           ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
898
; AB13     ; 97         ; 4        ; mbus_addr3_i[24]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
899
; AB14     ; 99         ; 4        ; mbus_addr3_i[6]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
900
; AB15     ; 100        ; 4        ; cbus_addr_o[28]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
901
; AB16     ; 111        ; 4        ; mbus_addr3_i[31]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
902
; AB17     ; 114        ; 4        ; cbus_addr_o[23]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
903
; AB18     ; 115        ; 4        ; mbus_addr0_i[21]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
904
; AB19     ; 123        ; 4        ; cbus_addr_o[22]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
905
; AB20     ; 126        ; 4        ; cbus_addr_o[0]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
906
; AB21     ; 128        ; 4        ; mbus_addr1_i[28]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
907
; AB22     ; 132        ; 4        ; mbus_cmd1_i[0]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
908
; B1       ; 307        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
909
; B2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
910
; B3       ; 302        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
911
; B4       ; 303        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
912
; B5       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
913
; B6       ; 304        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
914
; B7       ; 294        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
915
; B8       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
916
; B9       ; 290        ; 7        ; GND+                                                  ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
917
; B10      ; 286        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
918
; B11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
919
; B12      ; 270        ; 7        ; cbus_addr_o[2]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
920
; B13      ; 271        ; 7        ; cbus_addr_o[20]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
921
; B14      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
922
; B15      ; 262        ; 7        ; cbus_addr_o[26]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
923
; B16      ; 255        ; 7        ; mbus_addr2_i[25]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
924
; B17      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
925
; B18      ; 249        ; 7        ; cbus_cmd3_o[0]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
926
; B19      ; 231        ; 6        ; mbus_addr2_i[13]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
927
; B20      ; 227        ; 6        ; mbus_addr2_i[6]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
928
; B21      ; 226        ; 6        ; mbus_addr1_i[19]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
929
; B22      ; 220        ; 6        ; mbus_addr2_i[14]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
930
; C1       ; 311        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
931
; C2       ; 312        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
932
; C3       ; 315        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
933
; C4       ; 316        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
934
; C5       ; 319        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
935
; C6       ; 305        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
936
; C7       ; 313        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
937
; C8       ; 308        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
938
; C9       ; 324        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
939
; C10      ; 278        ; 7        ; mbus_addr2_i[20]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
940
; C11      ; 279        ; 7        ; mbus_addr3_i[0]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
941
; C12      ; 268        ; 7        ; mbus_addr2_i[10]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
942
; C13      ; 269        ; 7        ; mbus_addr2_i[9]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
943
; C14      ; 263        ; 7        ; cbus_addr_o[21]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
944
; C15      ; 259        ; 7        ; cbus_addr_o[24]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
945
; C16      ; 256        ; 7        ; cbus_addr_o[4]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
946
; C17      ; 247        ; 7        ; cbus_cmd2_o[1]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
947
; C18      ; 248        ; 7        ; cbus_cmd0_o[0]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
948
; C19      ; 229        ; 6        ; mbus_addr1_i[22]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
949
; C20      ; 228        ; 6        ; mbus_addr1_i[24]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
950
; C21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
951
; C22      ; 221        ; 6        ; cbus_cmd2_o[0]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
952
; D1       ; 346        ; 9        ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; On           ;
953
; D2       ; 350        ; 9        ; ^nCE                                                  ;        ;              ;         ; --         ;                 ; --       ; --           ;
954
; D3       ; 348        ; 9        ; ~ALTERA_DCLK~                                         ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; On           ;
955
; D4       ; 320        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
956
; D5       ; 321        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
957
; D6       ; 326        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
958
; D7       ; 314        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
959
; D8       ; 309        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
960
; D9       ; 325        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
961
; D10      ; 323        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
962
; D11      ; 310        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
963
; D12      ; 299        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
964
; D13      ; 267        ; 7        ; mbus_addr2_i[5]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
965
; D14      ; 264        ; 7        ; mbus_addr2_i[8]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
966
; D15      ; 260        ; 7        ; mbus_addr3_i[28]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
967
; D16      ; 254        ; 7        ; mbus_addr3_i[12]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
968
; D17      ; 252        ; 7        ; cbus_addr_o[13]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
969
; D18      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
970
; D19      ; 225        ; 6        ; mbus_addr1_i[14]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
971
; D20      ; 224        ; 6        ; mbus_addr2_i[22]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
972
; D21      ; 216        ; 6        ; cbus_addr_o[15]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
973
; D22      ; 215        ; 6        ; mbus_addr2_i[16]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
974
; E1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
975
; E2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
976
; E3       ; 354        ; 9        ; #TDO                                                  ; output ;              ;         ; --         ;                 ; --       ; --           ;
977
; E4       ; 352        ; 9        ; #TCK                                                  ; input  ;              ;         ; --         ;                 ; --       ; --           ;
978
; E5       ; 322        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
979
; E6       ; 327        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
980
; E7       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
981
; E8       ; 317        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
982
; E9       ;            ; 8        ; VCCIO8                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
983
; E10      ;            ; 8        ; VCCIO8                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
984
; E11      ;            ; 8        ; VCCIO8                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
985
; E12      ;            ; 8        ; VCCIO8                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
986
; E13      ;            ; 7        ; VCCIO7                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
987
; E14      ;            ; 7        ; VCCIO7                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
988
; E15      ;            ; 7        ; VCCIO7                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
989
; E16      ;            ; 7        ; VCCIO7                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
990
; E17      ; 253        ; 7        ; cbus_addr_o[5]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
991
; E18      ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
992
; E19      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
993
; E20      ; 209        ; 6        ; mbus_addr1_i[9]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
994
; E21      ; 214        ; 6        ; cbus_cmd0_o[1]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
995
; E22      ; 213        ; 6        ; mbus_addr3_i[21]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
996
; F1       ; 17         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
997
; F2       ; 16         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
998
; F3       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
999
; F4       ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1000
; F5       ; 351        ; 9        ; #TDI                                                  ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1001
; F6       ; 330        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1002
; F7       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1003
; F8       ; 318        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1004
; F9       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1005
; F10      ;            ; 8        ; VCCIO8                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1006
; F11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1007
; F12      ; 280        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1008
; F13      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1009
; F14      ;            ; 7        ; VCCIO7                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1010
; F15      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1011
; F16      ; 245        ; 7        ; cbus_cmd1_o[0]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1012
; F17      ; 246        ; 7        ; mbus_addr1_i[16]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1013
; F18      ; 244        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1014
; F19      ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1015
; F20      ; 210        ; 6        ; mbus_addr1_i[23]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1016
; F21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1017
; F22      ; 211        ; 6        ; mbus_addr3_i[9]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1018
; G1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1019
; G2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1020
; G3       ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1021
; G4       ;            ; 9        ; VCCIO9                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1022
; G5       ; 353        ; 9        ; #TMS                                                  ; input  ;              ;         ; --         ;                 ; --       ; --           ;
1023
; G6       ; 331        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1024
; G7       ; 328        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1025
; G8       ; 332        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1026
; G9       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1027
; G10      ; 334        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1028
; G11      ; 340        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1029
; G12      ; 287        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1030
; G13      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1031
; G14      ; 272        ; 7        ; mbus_addr3_i[1]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1032
; G15      ; 273        ; 7        ; mbus_addr3_i[13]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1033
; G16      ; 242        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1034
; G17      ; 241        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1035
; G18      ; 236        ; 6        ; mbus_addr1_i[0]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1036
; G19      ; 243        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1037
; G20      ; 208        ; 6        ; cbus_addr_o[8]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1038
; G21      ; 207        ; 6        ; mbus_cmd2_i[0]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1039
; G22      ; 212        ; 6        ; cbus_cmd1_o[1]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1040
; H1       ; 19         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1041
; H2       ; 18         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1042
; H3       ;            ; --       ; VCCH_GXB                                              ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1043
; H4       ; 349        ; 9        ; ^nCONFIG                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1044
; H5       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1045
; H6       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1046
; H7       ; 329        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1047
; H8       ; 333        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1048
; H9       ; 335        ; 8        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1049
; H10      ;            ; 8A       ; VCC_CLKIN8A                                           ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1050
; H11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1051
; H12      ; 288        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1052
; H13      ; 281        ; 7        ; mbus_addr2_i[1]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1053
; H14      ; 274        ; 7        ; mbus_addr2_i[2]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1054
; H15      ; 240        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1055
; H16      ; 239        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1056
; H17      ; 219        ; 6        ; mbus_addr2_i[11]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1057
; H18      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1058
; H19      ;            ; 6        ; VCCIO6                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1059
; H20      ; 206        ; 6        ; cbus_addr_o[14]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1060
; H21      ; 205        ; 6        ; mbus_addr1_i[5]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1061
; H22      ; 199        ; 6        ; cbus_addr_o[7]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1062
; J1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1063
; J2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1064
; J3       ;            ; --       ; VCCA_GXB                                              ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1065
; J4       ; 347        ; 9        ; ~ALTERA_NCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; On           ;
1066
; J5       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1067
; J6       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1068
; J7       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1069
; J8       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1070
; J9       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1071
; J10      ; 291        ; 8A       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1072
; J11      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1073
; J12      ; 276        ; 7        ; mbus_addr2_i[3]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1074
; J13      ; 282        ; 7        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1075
; J14      ; 275        ; 7        ; cbus_addr_o[18]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1076
; J15      ; 196        ; 6        ; mbus_addr1_i[2]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1077
; J16      ; 233        ; 6        ; mbus_addr1_i[21]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1078
; J17      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1079
; J18      ;            ; 6        ; VCCIO6                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1080
; J19      ; 198        ; 6        ; cbus_ack1_i                                           ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1081
; J20      ; 197        ; 6        ; cbus_cmd3_o[1]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1082
; J21      ; 200        ; 6        ; cbus_cmd1_o[2]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1083
; J22      ; 201        ; 6        ; cbus_addr_o[30]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1084
; K1       ; 21         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1085
; K2       ; 20         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1086
; K3       ;            ; --       ; VCCL_GXB                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1087
; K4       ; 345        ; 9        ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; On           ;
1088
; K5       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1089
; K6       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1090
; K7       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1091
; K8       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1092
; K9       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1093
; K10      ; 292        ; 8A       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1094
; K11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1095
; K12      ; 277        ; 7        ; mbus_addr2_i[4]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1096
; K13      ; 238        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1097
; K14      ; 237        ; 6        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Row I/O    ;                 ; no       ; On           ;
1098
; K15      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1099
; K16      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1100
; K17      ; 232        ; 6        ; mbus_addr1_i[20]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1101
; K18      ;            ; 6        ; VCCIO6                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1102
; K19      ; 204        ; 6        ; mbus_cmd2_i[1]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1103
; K20      ; 203        ; 6        ; mbus_cmd2_i[2]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1104
; K21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1105
; K22      ; 202        ; 6        ; mbus_ack2_o                                           ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1106
; L1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1107
; L2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1108
; L3       ;            ; --       ; VCCL_GXB                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1109
; L4       ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1110
; L5       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1111
; L6       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1112
; L7       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1113
; L8       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1114
; L9       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1115
; L10      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1116
; L11      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1117
; L12      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1118
; L13      ; 175        ; 5        ; mbus_addr1_i[4]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1119
; L14      ; 170        ; 5        ; mbus_addr1_i[30]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1120
; L15      ; 169        ; 5        ; mbus_addr0_i[11]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1121
; L16      ; 184        ; 5        ; mbus_cmd3_i[0]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1122
; L17      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1123
; L18      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1124
; L19      ; 193        ; 6        ; cbus_cmd3_o[2]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1125
; L20      ; 192        ; 6        ; cbus_cmd0_o[2]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1126
; L21      ; 191        ; 6        ; mbus_addr2_i[19]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1127
; L22      ; 190        ; 6        ; mbus_addr1_i[18]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1128
; M1       ; 23         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1129
; M2       ; 22         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1130
; M3       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1131
; M4       ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1132
; M5       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1133
; M6       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1134
; M7       ; 38         ; 3B       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1135
; M8       ; 40         ; 3B       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1136
; M9       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1137
; M10      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1138
; M11      ; 88         ; 3A       ; rst                                                   ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1139
; M12      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1140
; M13      ; 176        ; 5        ; cbus_addr_o[16]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1141
; M14      ; 167        ; 5        ; mbus_addr3_i[26]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1142
; M15      ; 165        ; 5        ; mbus_addr1_i[6]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1143
; M16      ; 185        ; 5        ; mbus_ack3_o                                           ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1144
; M17      ; 173        ; 5        ; mbus_addr1_i[27]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1145
; M18      ; 187        ; 5        ; cbus_addr_o[1]                                        ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1146
; M19      ; 186        ; 5        ; cbus_addr_o[10]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1147
; M20      ; 183        ; 5        ; mbus_cmd3_i[2]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1148
; M21      ; 189        ; 5        ; mbus_addr1_i[17]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1149
; M22      ; 188        ; 5        ; mbus_addr0_i[17]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1150
; N1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1151
; N2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1152
; N3       ;            ; --       ; VCCL_GXB                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1153
; N4       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1154
; N5       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1155
; N6       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1156
; N7       ; 39         ; 3B       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1157
; N8       ; 41         ; 3B       ; GXB_GND*                                              ;        ;              ;         ; Column I/O ;                 ; --       ; --           ;
1158
; N9       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1159
; N10      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1160
; N11      ; 89         ; 3A       ; clk                                                   ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1161
; N12      ;            ; 3A       ; VCC_CLKIN3A                                           ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1162
; N13      ; 168        ; 5        ; mbus_addr3_i[5]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1163
; N14      ; 166        ; 5        ; mbus_addr3_i[10]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1164
; N15      ; 144        ; 5        ; mbus_addr2_i[23]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1165
; N16      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1166
; N17      ; 174        ; 5        ; mbus_addr2_i[18]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1167
; N18      ;            ; 5        ; VCCIO5                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1168
; N19      ; 178        ; 5        ; mbus_cmd3_i[1]                                        ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1169
; N20      ; 177        ; 5        ; mbus_addr1_i[12]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1170
; N21      ; 180        ; 5        ; mbus_addr2_i[17]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1171
; N22      ; 179        ; 5        ; mbus_addr2_i[28]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1172
; P1       ; 25         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1173
; P2       ; 24         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1174
; P3       ;            ; --       ; VCCH_GXB                                              ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1175
; P4       ; 32         ; 3        ; ^MSEL3                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1176
; P5       ; 34         ; 3        ; ^MSEL1                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1177
; P6       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1178
; P7       ;            ; 3B       ; VCC_CLKIN3B                                           ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1179
; P8       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1180
; P9       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1181
; P10      ; 55         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1182
; P11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1183
; P12      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1184
; P13      ; 149        ; 5        ; mbus_addr1_i[29]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1185
; P14      ; 148        ; 5        ; mbus_addr2_i[27]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1186
; P15      ; 145        ; 5        ; mbus_addr3_i[4]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1187
; P16      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1188
; P17      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1189
; P18      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1190
; P19      ;            ; 5        ; VCCIO5                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1191
; P20      ; 164        ; 5        ; mbus_addr1_i[7]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1192
; P21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1193
; P22      ; 171        ; 5        ; mbus_addr1_i[10]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1194
; R1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1195
; R2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1196
; R3       ;            ; --       ; VCCA_GXB                                              ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1197
; R4       ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1198
; R5       ; 33         ; 3        ; ^MSEL2                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1199
; R6       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1200
; R7       ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1201
; R8       ; 37         ; 3        ; ^nSTATUS                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1202
; R9       ; 51         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1203
; R10      ; 56         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1204
; R11      ; 60         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1205
; R12      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1206
; R13      ; 92         ; 4        ; mbus_addr0_i[27]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1207
; R14      ; 103        ; 4        ; cbus_addr_o[17]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1208
; R15      ; 120        ; 4        ; mbus_addr3_i[30]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1209
; R16      ; 138        ; 5        ; mbus_addr1_i[25]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1210
; R17      ; 137        ; 5        ; mbus_addr3_i[20]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1211
; R18      ;            ; 5        ; VCCIO5                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1212
; R19      ; 155        ; 5        ; mbus_addr2_i[29]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1213
; R20      ; 159        ; 5        ; mbus_addr0_i[13]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1214
; R21      ; 158        ; 5        ; mbus_addr2_i[26]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1215
; R22      ; 172        ; 5        ; mbus_addr3_i[14]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1216
; T1       ; 27         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1217
; T2       ; 26         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1218
; T3       ;            ; --       ; VCCL_GXB                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1219
; T4       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1220
; T5       ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1221
; T6       ; 35         ; 3        ; ^MSEL0                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1222
; T7       ; 42         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1223
; T8       ; 43         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1224
; T9       ; 52         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1225
; T10      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1226
; T11      ; 61         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1227
; T12      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1228
; T13      ; 93         ; 4        ; cbus_addr_o[31]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1229
; T14      ; 104        ; 4        ; mbus_addr3_i[2]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1230
; T15      ; 121        ; 4        ; mbus_addr3_i[25]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1231
; T16      ; 124        ; 4        ; mbus_addr1_i[26]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1232
; T17      ; 135        ; 5        ; cbus_addr_o[25]                                       ; output ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1233
; T18      ; 136        ; 5        ; mbus_addr3_i[29]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1234
; T19      ; 153        ; 5        ; mbus_addr3_i[8]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1235
; T20      ; 154        ; 5        ; mbus_addr1_i[1]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1236
; T21      ; 161        ; 5        ; mbus_addr2_i[24]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1237
; T22      ; 160        ; 5        ; mbus_addr3_i[27]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1238
; U1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1239
; U2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1240
; U3       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1241
; U4       ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1242
; U5       ; 36         ; 3        ; ^CONF_DONE                                            ;        ;              ;         ; --         ;                 ; --       ; --           ;
1243
; U6       ; 44         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1244
; U7       ; 46         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1245
; U8       ;            ; 3        ; VCCIO3                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1246
; U9       ;            ; 3        ; VCCIO3                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1247
; U10      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1248
; U11      ;            ; 3        ; VCCIO3                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1249
; U12      ; 83         ; 3        ; mbus_addr0_i[0]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1250
; U13      ;            ; 4        ; VCCIO4                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1251
; U14      ; 107        ; 4        ; mbus_addr0_i[8]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1252
; U15      ; 108        ; 4        ; mbus_cmd0_i[1]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1253
; U16      ;            ;          ; VCCINT                                                ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1254
; U17      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1255
; U18      ; 141        ; 5        ; mbus_addr3_i[11]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1256
; U19      ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1257
; U20      ; 152        ; 5        ; mbus_addr3_i[22]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1258
; U21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1259
; U22      ; 156        ; 5        ; mbus_addr2_i[31]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1260
; V1       ; 29         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1261
; V2       ; 28         ; QL0      ; GXB_NC                                                ;        ;              ;         ; --         ;                 ; --       ; --           ;
1262
; V3       ;            ; --       ; VCCL_GXB                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1263
; V4       ;            ; --       ; VCCA                                                  ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1264
; V5       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1265
; V6       ; 45         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1266
; V7       ; 47         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1267
; V8       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1268
; V9       ; 59         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1269
; V10      ;            ; 3        ; VCCIO3                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1270
; V11      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1271
; V12      ;            ; 3        ; VCCIO3                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1272
; V13      ; 98         ; 4        ; mbus_addr0_i[31]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1273
; V14      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1274
; V15      ;            ; 4        ; VCCIO4                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1275
; V16      ;            ; 4        ; VCCIO4                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1276
; V17      ;            ; 4        ; VCCIO4                                                ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1277
; V18      ;            ;          ; VCCD_PLL                                              ; power  ;              ; 1.2V    ; --         ;                 ; --       ; --           ;
1278
; V19      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1279
; V20      ; 151        ; 5        ; mbus_addr1_i[3]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1280
; V21      ; 150        ; 5        ; mbus_addr1_i[11]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1281
; V22      ; 157        ; 5        ; mbus_addr1_i[31]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1282
; W1       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1283
; W2       ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1284
; W3       ;            ; --       ; VCCA_GXB                                              ; power  ;              ; 2.5V    ; --         ;                 ; --       ; --           ;
1285
; W4       ; 49         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1286
; W5       ; 57         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1287
; W6       ; 62         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1288
; W7       ; 64         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1289
; W8       ; 48         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1290
; W9       ; 73         ; 3        ; mbus_addr0_i[5]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1291
; W10      ; 72         ; 3        ; mbus_addr0_i[1]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1292
; W11      ; 79         ; 3        ; mbus_addr0_i[7]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1293
; W12      ; 84         ; 3        ; mbus_addr0_i[23]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1294
; W13      ; 94         ; 4        ; cbus_addr_o[11]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1295
; W14      ; 101        ; 4        ; mbus_addr3_i[16]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1296
; W15      ; 105        ; 4        ; mbus_addr0_i[12]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1297
; W16      ; 109        ; 4        ; mbus_cmd0_i[2]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1298
; W17      ; 116        ; 4        ; mbus_addr0_i[29]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1299
; W18      ; 129        ; 4        ; cbus_ack0_i                                           ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1300
; W19      ; 133        ; 4        ; mbus_cmd1_i[1]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1301
; W20      ; 147        ; 5        ; mbus_addr2_i[21]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1302
; W21      ; 146        ; 5        ; mbus_addr3_i[7]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1303
; W22      ; 142        ; 5        ; mbus_addr0_i[24]                                      ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1304
; Y1       ; 31         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1305
; Y2       ; 30         ; QL0      ; GXB_GND*                                              ;        ;              ;         ; --         ;                 ; --       ; --           ;
1306
; Y3       ;            ;          ; NC                                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
1307
; Y4       ; 50         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1308
; Y5       ; 58         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1309
; Y6       ; 63         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1310
; Y7       ; 65         ; 3        ; RESERVED_INPUT_WITH_WEAK_PULLUP                       ;        ;              ;         ; Column I/O ;                 ; no       ; On           ;
1311
; Y8       ; 74         ; 3        ; mbus_addr0_i[16]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1312
; Y9       ; 75         ; 3        ; mbus_addr0_i[18]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1313
; Y10      ; 81         ; 3        ; mbus_addr0_i[20]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1314
; Y11      ; 80         ; 3        ; mbus_addr0_i[14]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1315
; Y12      ; 85         ; 3        ; mbus_addr0_i[9]                                       ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1316
; Y13      ; 95         ; 4        ; cbus_addr_o[19]                                       ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1317
; Y14      ; 102        ; 4        ; mbus_addr0_i[22]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1318
; Y15      ; 106        ; 4        ; cbus_addr_o[3]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1319
; Y16      ; 112        ; 4        ; mbus_addr0_i[10]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1320
; Y17      ; 117        ; 4        ; mbus_addr3_i[19]                                      ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1321
; Y18      ; 118        ; 4        ; cbus_addr_o[9]                                        ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1322
; Y19      ; 130        ; 4        ; mbus_ack1_o                                           ; output ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1323
; Y20      ; 134        ; 4        ; mbus_cmd1_i[2]                                        ; input  ; 2.5 V        ;         ; Column I/O ; N               ; no       ; Off          ;
1324
; Y21      ;            ;          ; GND                                                   ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
1325
; Y22      ; 143        ; 5        ; mbus_addr3_i[3]                                       ; input  ; 2.5 V        ;         ; Row I/O    ; N               ; no       ; Off          ;
1326
+----------+------------+----------+-------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
1327
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
1328
 
1329
 
1330
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1331
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                      ;
1332
+-----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------+--------------+
1333
; Compilation Hierarchy Node                                ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                 ; Library Name ;
1334
+-----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------+--------------+
1335
; |mesi_isc                                                 ; 827 (0)     ; 604 (0)                   ; 36 (36)       ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 194  ; 0            ; 223 (0)      ; 346 (0)           ; 258 (0)          ; |mesi_isc                                                                                           ;              ;
1336
;    |mesi_isc_breq_fifos:mesi_isc_breq_fifos|              ; 597 (0)     ; 440 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 124 (0)      ; 285 (0)           ; 188 (0)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos                                                   ;              ;
1337
;       |mesi_isc_basic_fifo:fifo_0|                        ; 109 (109)   ; 106 (106)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 3 (3)        ; 68 (68)           ; 38 (38)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0                        ;              ;
1338
;       |mesi_isc_basic_fifo:fifo_1|                        ; 110 (110)   ; 106 (106)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 3 (3)        ; 69 (69)           ; 38 (38)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1                        ;              ;
1339
;       |mesi_isc_basic_fifo:fifo_2|                        ; 109 (109)   ; 106 (106)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 3 (3)        ; 68 (68)           ; 38 (38)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2                        ;              ;
1340
;       |mesi_isc_basic_fifo:fifo_3|                        ; 109 (109)   ; 106 (106)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 3 (3)        ; 68 (68)           ; 38 (38)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3                        ;              ;
1341
;       |mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl| ; 160 (160)   ; 16 (16)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 112 (112)    ; 12 (12)           ; 36 (36)          ; |mesi_isc|mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl ;              ;
1342
;    |mesi_isc_broad:mesi_isc_broad|                        ; 263 (0)     ; 164 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 99 (0)       ; 61 (0)            ; 103 (0)          ; |mesi_isc|mesi_isc_broad:mesi_isc_broad                                                             ;              ;
1343
;       |mesi_isc_basic_fifo:broad_fifo|                    ; 218 (218)   ; 154 (154)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 64 (64)      ; 61 (61)           ; 93 (93)          ; |mesi_isc|mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo                              ;              ;
1344
;       |mesi_isc_broad_cntl:mesi_isc_broad_cntl|           ; 45 (45)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 35 (35)      ; 0 (0)             ; 10 (10)          ; |mesi_isc|mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl                     ;              ;
1345
+-----------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------+--------------+
1346
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
1347
 
1348
 
1349
+-------------------------------------------------------------------------------------------------------+
1350
; Delay Chain Summary                                                                                   ;
1351
+------------------+----------+---------------+---------------+-----------------------+----------+------+
1352
; Name             ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO      ; TCOE ;
1353
+------------------+----------+---------------+---------------+-----------------------+----------+------+
1354
; cbus_addr_o[0]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1355
; cbus_addr_o[1]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1356
; cbus_addr_o[2]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1357
; cbus_addr_o[3]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1358
; cbus_addr_o[4]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1359
; cbus_addr_o[5]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1360
; cbus_addr_o[6]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1361
; cbus_addr_o[7]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1362
; cbus_addr_o[8]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1363
; cbus_addr_o[9]   ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1364
; cbus_addr_o[10]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1365
; cbus_addr_o[11]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1366
; cbus_addr_o[12]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1367
; cbus_addr_o[13]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1368
; cbus_addr_o[14]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1369
; cbus_addr_o[15]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1370
; cbus_addr_o[16]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1371
; cbus_addr_o[17]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1372
; cbus_addr_o[18]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1373
; cbus_addr_o[19]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1374
; cbus_addr_o[20]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1375
; cbus_addr_o[21]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1376
; cbus_addr_o[22]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1377
; cbus_addr_o[23]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1378
; cbus_addr_o[24]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1379
; cbus_addr_o[25]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1380
; cbus_addr_o[26]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1381
; cbus_addr_o[27]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1382
; cbus_addr_o[28]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1383
; cbus_addr_o[29]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1384
; cbus_addr_o[30]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1385
; cbus_addr_o[31]  ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1386
; cbus_cmd3_o[0]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1387
; cbus_cmd3_o[1]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1388
; cbus_cmd3_o[2]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1389
; cbus_cmd2_o[0]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1390
; cbus_cmd2_o[1]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1391
; cbus_cmd2_o[2]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1392
; cbus_cmd1_o[0]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1393
; cbus_cmd1_o[1]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1394
; cbus_cmd1_o[2]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1395
; cbus_cmd0_o[0]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1396
; cbus_cmd0_o[1]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1397
; cbus_cmd0_o[2]   ; Output   ; --            ; --            ; --                    ; --       ; --   ;
1398
; mbus_ack3_o      ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1399
; mbus_ack2_o      ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1400
; mbus_ack1_o      ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1401
; mbus_ack0_o      ; Output   ; --            ; --            ; --                    ; (0) 0 ps ; --   ;
1402
; clk              ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1403
; rst              ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1404
; cbus_ack3_i      ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1405
; cbus_ack2_i      ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1406
; cbus_ack1_i      ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1407
; cbus_ack0_i      ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1408
; mbus_cmd3_i[0]   ; Input    ; (3) 738 ps    ; (1) 379 ps    ; --                    ; --       ; --   ;
1409
; mbus_cmd3_i[2]   ; Input    ; (2) 552 ps    ; (1) 379 ps    ; --                    ; --       ; --   ;
1410
; mbus_cmd3_i[1]   ; Input    ; (0) 0 ps      ; (1) 379 ps    ; --                    ; --       ; --   ;
1411
; mbus_cmd2_i[0]   ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1412
; mbus_cmd2_i[1]   ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1413
; mbus_cmd2_i[2]   ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1414
; mbus_cmd1_i[2]   ; Input    ; (1) 361 ps    ; (1) 361 ps    ; --                    ; --       ; --   ;
1415
; mbus_cmd1_i[0]   ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1416
; mbus_cmd1_i[1]   ; Input    ; (2) 554 ps    ; (0) 0 ps      ; --                    ; --       ; --   ;
1417
; mbus_cmd0_i[0]   ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1418
; mbus_cmd0_i[1]   ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1419
; mbus_cmd0_i[2]   ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1420
; mbus_addr2_i[0]  ; Input    ; (0) 0 ps      ; (0) 0 ps      ; --                    ; --       ; --   ;
1421
; mbus_addr3_i[0]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1422
; mbus_addr0_i[0]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1423
; mbus_addr1_i[0]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1424
; mbus_addr2_i[1]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1425
; mbus_addr3_i[1]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1426
; mbus_addr0_i[1]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1427
; mbus_addr1_i[1]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1428
; mbus_addr2_i[2]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1429
; mbus_addr3_i[2]  ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1430
; mbus_addr0_i[2]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1431
; mbus_addr1_i[2]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1432
; mbus_addr2_i[3]  ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1433
; mbus_addr3_i[3]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1434
; mbus_addr0_i[3]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1435
; mbus_addr1_i[3]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1436
; mbus_addr2_i[4]  ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1437
; mbus_addr3_i[4]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1438
; mbus_addr0_i[4]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1439
; mbus_addr1_i[4]  ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1440
; mbus_addr2_i[5]  ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1441
; mbus_addr3_i[5]  ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1442
; mbus_addr0_i[5]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1443
; mbus_addr1_i[5]  ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1444
; mbus_addr2_i[6]  ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1445
; mbus_addr3_i[6]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1446
; mbus_addr0_i[6]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1447
; mbus_addr1_i[6]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1448
; mbus_addr2_i[7]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1449
; mbus_addr3_i[7]  ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1450
; mbus_addr0_i[7]  ; Input    ; --            ; (1) 361 ps    ; --                    ; --       ; --   ;
1451
; mbus_addr1_i[7]  ; Input    ; (2) 552 ps    ; --            ; --                    ; --       ; --   ;
1452
; mbus_addr2_i[8]  ; Input    ; (1) 361 ps    ; (1) 361 ps    ; --                    ; --       ; --   ;
1453
; mbus_addr3_i[8]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1454
; mbus_addr0_i[8]  ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1455
; mbus_addr1_i[8]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1456
; mbus_addr2_i[9]  ; Input    ; --            ; (1) 361 ps    ; --                    ; --       ; --   ;
1457
; mbus_addr3_i[9]  ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1458
; mbus_addr0_i[9]  ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1459
; mbus_addr1_i[9]  ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1460
; mbus_addr2_i[10] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1461
; mbus_addr3_i[10] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1462
; mbus_addr0_i[10] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1463
; mbus_addr1_i[10] ; Input    ; (3) 738 ps    ; (3) 738 ps    ; --                    ; --       ; --   ;
1464
; mbus_addr2_i[11] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1465
; mbus_addr3_i[11] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1466
; mbus_addr0_i[11] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1467
; mbus_addr1_i[11] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1468
; mbus_addr2_i[12] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1469
; mbus_addr3_i[12] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1470
; mbus_addr0_i[12] ; Input    ; --            ; (1) 361 ps    ; --                    ; --       ; --   ;
1471
; mbus_addr1_i[12] ; Input    ; (3) 738 ps    ; --            ; --                    ; --       ; --   ;
1472
; mbus_addr2_i[13] ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1473
; mbus_addr3_i[13] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1474
; mbus_addr0_i[13] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1475
; mbus_addr1_i[13] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1476
; mbus_addr2_i[14] ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1477
; mbus_addr3_i[14] ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1478
; mbus_addr0_i[14] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1479
; mbus_addr1_i[14] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1480
; mbus_addr2_i[15] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1481
; mbus_addr3_i[15] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1482
; mbus_addr0_i[15] ; Input    ; (3) 742 ps    ; (1) 361 ps    ; --                    ; --       ; --   ;
1483
; mbus_addr1_i[15] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1484
; mbus_addr2_i[16] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1485
; mbus_addr3_i[16] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1486
; mbus_addr0_i[16] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1487
; mbus_addr1_i[16] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1488
; mbus_addr2_i[17] ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1489
; mbus_addr3_i[17] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1490
; mbus_addr0_i[17] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1491
; mbus_addr1_i[17] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1492
; mbus_addr2_i[18] ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1493
; mbus_addr3_i[18] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1494
; mbus_addr0_i[18] ; Input    ; (0) 0 ps      ; (0) 0 ps      ; --                    ; --       ; --   ;
1495
; mbus_addr1_i[18] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1496
; mbus_addr2_i[19] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1497
; mbus_addr3_i[19] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1498
; mbus_addr0_i[19] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1499
; mbus_addr1_i[19] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1500
; mbus_addr2_i[20] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1501
; mbus_addr3_i[20] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1502
; mbus_addr0_i[20] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1503
; mbus_addr1_i[20] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1504
; mbus_addr2_i[21] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1505
; mbus_addr3_i[21] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1506
; mbus_addr0_i[21] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1507
; mbus_addr1_i[21] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1508
; mbus_addr2_i[22] ; Input    ; (1) 379 ps    ; (2) 552 ps    ; --                    ; --       ; --   ;
1509
; mbus_addr3_i[22] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1510
; mbus_addr0_i[22] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1511
; mbus_addr1_i[22] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1512
; mbus_addr2_i[23] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1513
; mbus_addr3_i[23] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1514
; mbus_addr0_i[23] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1515
; mbus_addr1_i[23] ; Input    ; (1) 379 ps    ; (1) 379 ps    ; --                    ; --       ; --   ;
1516
; mbus_addr2_i[24] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1517
; mbus_addr3_i[24] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1518
; mbus_addr0_i[24] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1519
; mbus_addr1_i[24] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1520
; mbus_addr2_i[25] ; Input    ; (2) 554 ps    ; --            ; --                    ; --       ; --   ;
1521
; mbus_addr3_i[25] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1522
; mbus_addr0_i[25] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1523
; mbus_addr1_i[25] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1524
; mbus_addr2_i[26] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1525
; mbus_addr3_i[26] ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1526
; mbus_addr0_i[26] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1527
; mbus_addr1_i[26] ; Input    ; (1) 361 ps    ; --            ; --                    ; --       ; --   ;
1528
; mbus_addr2_i[27] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1529
; mbus_addr3_i[27] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1530
; mbus_addr0_i[27] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1531
; mbus_addr1_i[27] ; Input    ; (1) 379 ps    ; --            ; --                    ; --       ; --   ;
1532
; mbus_addr2_i[28] ; Input    ; --            ; (1) 379 ps    ; --                    ; --       ; --   ;
1533
; mbus_addr3_i[28] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1534
; mbus_addr0_i[28] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1535
; mbus_addr1_i[28] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1536
; mbus_addr2_i[29] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1537
; mbus_addr3_i[29] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1538
; mbus_addr0_i[29] ; Input    ; (1) 361 ps    ; (1) 361 ps    ; --                    ; --       ; --   ;
1539
; mbus_addr1_i[29] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1540
; mbus_addr2_i[30] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1541
; mbus_addr3_i[30] ; Input    ; --            ; (1) 361 ps    ; --                    ; --       ; --   ;
1542
; mbus_addr0_i[30] ; Input    ; (1) 361 ps    ; (2) 554 ps    ; --                    ; --       ; --   ;
1543
; mbus_addr1_i[30] ; Input    ; (1) 379 ps    ; (1) 379 ps    ; --                    ; --       ; --   ;
1544
; mbus_addr2_i[31] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1545
; mbus_addr3_i[31] ; Input    ; --            ; (1) 361 ps    ; --                    ; --       ; --   ;
1546
; mbus_addr0_i[31] ; Input    ; --            ; (0) 0 ps      ; --                    ; --       ; --   ;
1547
; mbus_addr1_i[31] ; Input    ; (0) 0 ps      ; --            ; --                    ; --       ; --   ;
1548
+------------------+----------+---------------+---------------+-----------------------+----------+------+
1549
 
1550
 
1551
+-------------------------------------------------------------------------------------------------------------------------------------------------+
1552
; Pad To Core Delay Chain Fanout                                                                                                                  ;
1553
+-------------------------------------------------------------------------------------------------------------------+-------------------+---------+
1554
; Source Pin / Fanout                                                                                               ; Pad To Core Index ; Setting ;
1555
+-------------------------------------------------------------------------------------------------------------------+-------------------+---------+
1556
; clk                                                                                                               ;                   ;         ;
1557
; rst                                                                                                               ;                   ;         ;
1558
; cbus_ack3_i                                                                                                       ;                   ;         ;
1559
; cbus_ack2_i                                                                                                       ;                   ;         ;
1560
; cbus_ack1_i                                                                                                       ;                   ;         ;
1561
;      - mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~7            ; 0                 ; 0       ;
1562
;      - mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o~0                    ; 0                 ; 0       ;
1563
; cbus_ack0_i                                                                                                       ;                   ;         ;
1564
;      - mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~10           ; 0                 ; 0       ;
1565
;      - mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o~1                    ; 0                 ; 0       ;
1566
; mbus_cmd3_i[0]                                                                                                    ;                   ;         ;
1567
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~1 ; 1                 ; 1       ;
1568
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal1~0         ; 1                 ; 1       ;
1569
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal0~0         ; 0                 ; 3       ;
1570
; mbus_cmd3_i[2]                                                                                                    ;                   ;         ;
1571
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~0 ; 1                 ; 1       ;
1572
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~1 ; 0                 ; 2       ;
1573
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal1~0         ; 0                 ; 2       ;
1574
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal0~0         ; 0                 ; 2       ;
1575
; mbus_cmd3_i[1]                                                                                                    ;                   ;         ;
1576
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~0 ; 0                 ; 0       ;
1577
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal1~0         ; 1                 ; 1       ;
1578
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal0~0         ; 1                 ; 1       ;
1579
; mbus_cmd2_i[0]                                                                                                    ;                   ;         ;
1580
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal2~0         ; 1                 ; 0       ;
1581
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal3~0         ; 1                 ; 0       ;
1582
; mbus_cmd2_i[1]                                                                                                    ;                   ;         ;
1583
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal2~0         ; 0                 ; 0       ;
1584
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal3~0         ; 0                 ; 0       ;
1585
; mbus_cmd2_i[2]                                                                                                    ;                   ;         ;
1586
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal2~0         ; 1                 ; 0       ;
1587
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal3~0         ; 1                 ; 0       ;
1588
; mbus_cmd1_i[2]                                                                                                    ;                   ;         ;
1589
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~4 ; 1                 ; 1       ;
1590
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal5~0         ; 0                 ; 1       ;
1591
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal4~0         ; 0                 ; 1       ;
1592
; mbus_cmd1_i[0]                                                                                                    ;                   ;         ;
1593
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~4 ; 0                 ; 1       ;
1594
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal5~0         ; 0                 ; 1       ;
1595
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal4~0         ; 0                 ; 1       ;
1596
; mbus_cmd1_i[1]                                                                                                    ;                   ;         ;
1597
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~4 ; 1                 ; 0       ;
1598
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal5~0         ; 0                 ; 2       ;
1599
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal4~0         ; 0                 ; 2       ;
1600
; mbus_cmd0_i[0]                                                                                                    ;                   ;         ;
1601
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal6~0         ; 0                 ; 0       ;
1602
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal7~0         ; 0                 ; 0       ;
1603
; mbus_cmd0_i[1]                                                                                                    ;                   ;         ;
1604
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal6~0         ; 1                 ; 0       ;
1605
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal7~0         ; 1                 ; 0       ;
1606
; mbus_cmd0_i[2]                                                                                                    ;                   ;         ;
1607
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal6~0         ; 1                 ; 0       ;
1608
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal7~0         ; 1                 ; 0       ;
1609
; mbus_addr2_i[0]                                                                                                   ;                   ;         ;
1610
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[9]                               ; 0                 ; 0       ;
1611
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][9]~feeder                      ; 0                 ; 0       ;
1612
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][9]~feeder                      ; 1                 ; 0       ;
1613
; mbus_addr3_i[0]                                                                                                   ;                   ;         ;
1614
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[9]                               ; 0                 ; 0       ;
1615
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][9]~feeder                      ; 0                 ; 0       ;
1616
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][9]~feeder                      ; 0                 ; 0       ;
1617
; mbus_addr0_i[0]                                                                                                   ;                   ;         ;
1618
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]                               ; 0                 ; 0       ;
1619
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][9]~feeder                      ; 0                 ; 0       ;
1620
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]~feeder                      ; 0                 ; 0       ;
1621
; mbus_addr1_i[0]                                                                                                   ;                   ;         ;
1622
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[9]                               ; 0                 ; 0       ;
1623
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][9]~feeder                      ; 0                 ; 0       ;
1624
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][9]~feeder                      ; 0                 ; 0       ;
1625
; mbus_addr2_i[1]                                                                                                   ;                   ;         ;
1626
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[10]                              ; 0                 ; 0       ;
1627
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][10]~feeder                     ; 0                 ; 0       ;
1628
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][10]~feeder                     ; 0                 ; 0       ;
1629
; mbus_addr3_i[1]                                                                                                   ;                   ;         ;
1630
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[10]                              ; 0                 ; 0       ;
1631
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][10]~feeder                     ; 0                 ; 0       ;
1632
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][10]~feeder                     ; 0                 ; 0       ;
1633
; mbus_addr0_i[1]                                                                                                   ;                   ;         ;
1634
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]                              ; 0                 ; 0       ;
1635
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10]~feeder                     ; 0                 ; 0       ;
1636
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10]~feeder                     ; 0                 ; 0       ;
1637
; mbus_addr1_i[1]                                                                                                   ;                   ;         ;
1638
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[10]                              ; 0                 ; 0       ;
1639
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][10]~feeder                     ; 0                 ; 0       ;
1640
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][10]~feeder                     ; 0                 ; 0       ;
1641
; mbus_addr2_i[2]                                                                                                   ;                   ;         ;
1642
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[11]                              ; 0                 ; 0       ;
1643
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][11]~feeder                     ; 0                 ; 0       ;
1644
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]~feeder                     ; 0                 ; 0       ;
1645
; mbus_addr3_i[2]                                                                                                   ;                   ;         ;
1646
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[11]                              ; 1                 ; 0       ;
1647
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][11]~feeder                     ; 1                 ; 0       ;
1648
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][11]~feeder                     ; 1                 ; 0       ;
1649
; mbus_addr0_i[2]                                                                                                   ;                   ;         ;
1650
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]                              ; 0                 ; 0       ;
1651
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11]~feeder                     ; 0                 ; 0       ;
1652
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11]~feeder                     ; 0                 ; 0       ;
1653
; mbus_addr1_i[2]                                                                                                   ;                   ;         ;
1654
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][11]                            ; 0                 ; 0       ;
1655
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[11]                              ; 0                 ; 0       ;
1656
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][11]~feeder                     ; 0                 ; 0       ;
1657
; mbus_addr2_i[3]                                                                                                   ;                   ;         ;
1658
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                            ; 0                 ; 1       ;
1659
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                              ; 0                 ; 1       ;
1660
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]~feeder                     ; 0                 ; 1       ;
1661
; mbus_addr3_i[3]                                                                                                   ;                   ;         ;
1662
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[12]                              ; 0                 ; 0       ;
1663
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][12]~feeder                     ; 0                 ; 0       ;
1664
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][12]~feeder                     ; 0                 ; 0       ;
1665
; mbus_addr0_i[3]                                                                                                   ;                   ;         ;
1666
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]                              ; 0                 ; 0       ;
1667
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12]~feeder                     ; 0                 ; 0       ;
1668
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12]~feeder                     ; 0                 ; 0       ;
1669
; mbus_addr1_i[3]                                                                                                   ;                   ;         ;
1670
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[12]                              ; 0                 ; 0       ;
1671
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][12]~feeder                     ; 0                 ; 0       ;
1672
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][12]~feeder                     ; 0                 ; 0       ;
1673
; mbus_addr2_i[4]                                                                                                   ;                   ;         ;
1674
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                              ; 0                 ; 1       ;
1675
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]~feeder                     ; 0                 ; 1       ;
1676
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]~feeder                     ; 0                 ; 1       ;
1677
; mbus_addr3_i[4]                                                                                                   ;                   ;         ;
1678
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[13]                              ; 0                 ; 0       ;
1679
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][13]~feeder                     ; 0                 ; 0       ;
1680
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][13]~feeder                     ; 0                 ; 0       ;
1681
; mbus_addr0_i[4]                                                                                                   ;                   ;         ;
1682
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]                              ; 0                 ; 0       ;
1683
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13]~feeder                     ; 0                 ; 0       ;
1684
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13]~feeder                     ; 0                 ; 0       ;
1685
; mbus_addr1_i[4]                                                                                                   ;                   ;         ;
1686
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[13]                              ; 1                 ; 1       ;
1687
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]~feeder                     ; 1                 ; 1       ;
1688
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][13]~feeder                     ; 1                 ; 1       ;
1689
; mbus_addr2_i[5]                                                                                                   ;                   ;         ;
1690
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                            ; 0                 ; 1       ;
1691
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                              ; 0                 ; 1       ;
1692
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]~feeder                     ; 0                 ; 1       ;
1693
; mbus_addr3_i[5]                                                                                                   ;                   ;         ;
1694
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[14]                              ; 0                 ; 1       ;
1695
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]~feeder                     ; 0                 ; 1       ;
1696
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][14]~feeder                     ; 0                 ; 1       ;
1697
; mbus_addr0_i[5]                                                                                                   ;                   ;         ;
1698
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]                              ; 0                 ; 0       ;
1699
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14]~feeder                     ; 0                 ; 0       ;
1700
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14]~feeder                     ; 0                 ; 0       ;
1701
; mbus_addr1_i[5]                                                                                                   ;                   ;         ;
1702
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[14]                              ; 1                 ; 1       ;
1703
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]~feeder                     ; 1                 ; 1       ;
1704
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]~feeder                     ; 1                 ; 1       ;
1705
; mbus_addr2_i[6]                                                                                                   ;                   ;         ;
1706
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[15]                              ; 1                 ; 1       ;
1707
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][15]~feeder                     ; 1                 ; 1       ;
1708
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]~feeder                     ; 1                 ; 1       ;
1709
; mbus_addr3_i[6]                                                                                                   ;                   ;         ;
1710
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[15]                              ; 0                 ; 0       ;
1711
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][15]~feeder                     ; 0                 ; 0       ;
1712
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][15]~feeder                     ; 0                 ; 0       ;
1713
; mbus_addr0_i[6]                                                                                                   ;                   ;         ;
1714
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]                              ; 0                 ; 0       ;
1715
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15]~feeder                     ; 0                 ; 0       ;
1716
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15]~feeder                     ; 0                 ; 0       ;
1717
; mbus_addr1_i[6]                                                                                                   ;                   ;         ;
1718
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[15]                              ; 0                 ; 0       ;
1719
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][15]~feeder                     ; 0                 ; 0       ;
1720
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][15]~feeder                     ; 0                 ; 0       ;
1721
; mbus_addr2_i[7]                                                                                                   ;                   ;         ;
1722
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[16]                              ; 0                 ; 0       ;
1723
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][16]~feeder                     ; 0                 ; 0       ;
1724
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][16]~feeder                     ; 0                 ; 0       ;
1725
; mbus_addr3_i[7]                                                                                                   ;                   ;         ;
1726
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[16]                              ; 1                 ; 0       ;
1727
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][16]~feeder                     ; 1                 ; 0       ;
1728
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]~feeder                     ; 1                 ; 0       ;
1729
; mbus_addr0_i[7]                                                                                                   ;                   ;         ;
1730
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]                              ; 1                 ; 1       ;
1731
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16]~feeder                     ; 1                 ; 1       ;
1732
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]~feeder                     ; 1                 ; 1       ;
1733
; mbus_addr1_i[7]                                                                                                   ;                   ;         ;
1734
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[16]                              ; 0                 ; 2       ;
1735
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][16]~feeder                     ; 0                 ; 2       ;
1736
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]~feeder                     ; 0                 ; 2       ;
1737
; mbus_addr2_i[8]                                                                                                   ;                   ;         ;
1738
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[17]                              ; 0                 ; 1       ;
1739
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][17]~feeder                     ; 0                 ; 1       ;
1740
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]~feeder                     ; 1                 ; 1       ;
1741
; mbus_addr3_i[8]                                                                                                   ;                   ;         ;
1742
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[17]                              ; 0                 ; 0       ;
1743
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][17]~feeder                     ; 0                 ; 0       ;
1744
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][17]~feeder                     ; 0                 ; 0       ;
1745
; mbus_addr0_i[8]                                                                                                   ;                   ;         ;
1746
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]                              ; 0                 ; 1       ;
1747
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]~feeder                     ; 0                 ; 1       ;
1748
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]~feeder                     ; 0                 ; 1       ;
1749
; mbus_addr1_i[8]                                                                                                   ;                   ;         ;
1750
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[17]                              ; 0                 ; 0       ;
1751
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][17]~feeder                     ; 0                 ; 0       ;
1752
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][17]~feeder                     ; 0                 ; 0       ;
1753
; mbus_addr2_i[9]                                                                                                   ;                   ;         ;
1754
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                            ; 1                 ; 1       ;
1755
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[18]                              ; 1                 ; 1       ;
1756
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]~feeder                     ; 1                 ; 1       ;
1757
; mbus_addr3_i[9]                                                                                                   ;                   ;         ;
1758
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[18]                              ; 1                 ; 0       ;
1759
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][18]~feeder                     ; 1                 ; 0       ;
1760
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][18]~feeder                     ; 1                 ; 0       ;
1761
; mbus_addr0_i[9]                                                                                                   ;                   ;         ;
1762
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]                              ; 0                 ; 0       ;
1763
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18]~feeder                     ; 0                 ; 0       ;
1764
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18]~feeder                     ; 0                 ; 0       ;
1765
; mbus_addr1_i[9]                                                                                                   ;                   ;         ;
1766
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                              ; 1                 ; 1       ;
1767
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]~feeder                     ; 1                 ; 1       ;
1768
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]~feeder                     ; 1                 ; 1       ;
1769
; mbus_addr2_i[10]                                                                                                  ;                   ;         ;
1770
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[19]                              ; 0                 ; 0       ;
1771
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][19]~feeder                     ; 0                 ; 0       ;
1772
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][19]~feeder                     ; 0                 ; 0       ;
1773
; mbus_addr3_i[10]                                                                                                  ;                   ;         ;
1774
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[19]                              ; 1                 ; 0       ;
1775
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][19]~feeder                     ; 1                 ; 0       ;
1776
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][19]~feeder                     ; 1                 ; 0       ;
1777
; mbus_addr0_i[10]                                                                                                  ;                   ;         ;
1778
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19]                            ; 1                 ; 0       ;
1779
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]                              ; 1                 ; 0       ;
1780
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19]~feeder                     ; 1                 ; 0       ;
1781
; mbus_addr1_i[10]                                                                                                  ;                   ;         ;
1782
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                            ; 1                 ; 3       ;
1783
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[19]                              ; 0                 ; 3       ;
1784
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]~feeder                     ; 0                 ; 3       ;
1785
; mbus_addr2_i[11]                                                                                                  ;                   ;         ;
1786
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[20]                              ; 0                 ; 0       ;
1787
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][20]~feeder                     ; 0                 ; 0       ;
1788
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][20]~feeder                     ; 0                 ; 0       ;
1789
; mbus_addr3_i[11]                                                                                                  ;                   ;         ;
1790
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[20]                              ; 0                 ; 0       ;
1791
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][20]~feeder                     ; 0                 ; 0       ;
1792
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]~feeder                     ; 0                 ; 0       ;
1793
; mbus_addr0_i[11]                                                                                                  ;                   ;         ;
1794
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20]                            ; 0                 ; 0       ;
1795
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]                              ; 0                 ; 0       ;
1796
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20]~feeder                     ; 0                 ; 0       ;
1797
; mbus_addr1_i[11]                                                                                                  ;                   ;         ;
1798
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[20]                              ; 0                 ; 0       ;
1799
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][20]~feeder                     ; 0                 ; 0       ;
1800
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][20]~feeder                     ; 0                 ; 0       ;
1801
; mbus_addr2_i[12]                                                                                                  ;                   ;         ;
1802
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[21]                              ; 0                 ; 1       ;
1803
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]~feeder                     ; 0                 ; 1       ;
1804
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]~feeder                     ; 0                 ; 1       ;
1805
; mbus_addr3_i[12]                                                                                                  ;                   ;         ;
1806
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[21]                              ; 0                 ; 1       ;
1807
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]~feeder                     ; 0                 ; 1       ;
1808
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][21]~feeder                     ; 0                 ; 1       ;
1809
; mbus_addr0_i[12]                                                                                                  ;                   ;         ;
1810
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]                              ; 1                 ; 1       ;
1811
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]~feeder                     ; 1                 ; 1       ;
1812
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]~feeder                     ; 1                 ; 1       ;
1813
; mbus_addr1_i[12]                                                                                                  ;                   ;         ;
1814
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                              ; 0                 ; 3       ;
1815
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]~feeder                     ; 0                 ; 3       ;
1816
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]~feeder                     ; 0                 ; 3       ;
1817
; mbus_addr2_i[13]                                                                                                  ;                   ;         ;
1818
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[22]                              ; 0                 ; 1       ;
1819
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][22]~feeder                     ; 0                 ; 1       ;
1820
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][22]~feeder                     ; 0                 ; 1       ;
1821
; mbus_addr3_i[13]                                                                                                  ;                   ;         ;
1822
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[22]                              ; 0                 ; 0       ;
1823
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][22]~feeder                     ; 0                 ; 0       ;
1824
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][22]~feeder                     ; 0                 ; 0       ;
1825
; mbus_addr0_i[13]                                                                                                  ;                   ;         ;
1826
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]                              ; 0                 ; 0       ;
1827
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22]~feeder                     ; 0                 ; 0       ;
1828
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22]~feeder                     ; 0                 ; 0       ;
1829
; mbus_addr1_i[13]                                                                                                  ;                   ;         ;
1830
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][22]                            ; 0                 ; 0       ;
1831
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[22]                              ; 0                 ; 0       ;
1832
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][22]~feeder                     ; 0                 ; 0       ;
1833
; mbus_addr2_i[14]                                                                                                  ;                   ;         ;
1834
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][23]                            ; 0                 ; 1       ;
1835
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[23]                              ; 0                 ; 1       ;
1836
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]~feeder                     ; 0                 ; 1       ;
1837
; mbus_addr3_i[14]                                                                                                  ;                   ;         ;
1838
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[23]                              ; 1                 ; 1       ;
1839
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][23]~feeder                     ; 1                 ; 1       ;
1840
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]~feeder                     ; 1                 ; 1       ;
1841
; mbus_addr0_i[14]                                                                                                  ;                   ;         ;
1842
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]                              ; 0                 ; 0       ;
1843
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23]~feeder                     ; 0                 ; 0       ;
1844
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23]~feeder                     ; 0                 ; 0       ;
1845
; mbus_addr1_i[14]                                                                                                  ;                   ;         ;
1846
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[23]                              ; 0                 ; 0       ;
1847
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][23]~feeder                     ; 0                 ; 0       ;
1848
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][23]~feeder                     ; 0                 ; 0       ;
1849
; mbus_addr2_i[15]                                                                                                  ;                   ;         ;
1850
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][24]                            ; 0                 ; 0       ;
1851
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[24]                              ; 0                 ; 0       ;
1852
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][24]~feeder                     ; 0                 ; 0       ;
1853
; mbus_addr3_i[15]                                                                                                  ;                   ;         ;
1854
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[24]                              ; 0                 ; 0       ;
1855
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][24]~feeder                     ; 0                 ; 0       ;
1856
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][24]~feeder                     ; 0                 ; 0       ;
1857
; mbus_addr0_i[15]                                                                                                  ;                   ;         ;
1858
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]                              ; 1                 ; 1       ;
1859
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]~feeder                     ; 1                 ; 1       ;
1860
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24]~feeder                     ; 0                 ; 3       ;
1861
; mbus_addr1_i[15]                                                                                                  ;                   ;         ;
1862
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[24]                              ; 0                 ; 0       ;
1863
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][24]~feeder                     ; 0                 ; 0       ;
1864
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][24]~feeder                     ; 0                 ; 0       ;
1865
; mbus_addr2_i[16]                                                                                                  ;                   ;         ;
1866
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[25]                              ; 1                 ; 0       ;
1867
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][25]~feeder                     ; 1                 ; 0       ;
1868
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][25]~feeder                     ; 1                 ; 0       ;
1869
; mbus_addr3_i[16]                                                                                                  ;                   ;         ;
1870
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[25]                              ; 0                 ; 0       ;
1871
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][25]~feeder                     ; 0                 ; 0       ;
1872
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][25]~feeder                     ; 0                 ; 0       ;
1873
; mbus_addr0_i[16]                                                                                                  ;                   ;         ;
1874
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]                              ; 0                 ; 0       ;
1875
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25]~feeder                     ; 0                 ; 0       ;
1876
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25]~feeder                     ; 0                 ; 0       ;
1877
; mbus_addr1_i[16]                                                                                                  ;                   ;         ;
1878
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][25]                            ; 0                 ; 0       ;
1879
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[25]                              ; 0                 ; 0       ;
1880
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][25]~feeder                     ; 0                 ; 0       ;
1881
; mbus_addr2_i[17]                                                                                                  ;                   ;         ;
1882
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[26]                              ; 0                 ; 1       ;
1883
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]~feeder                     ; 0                 ; 1       ;
1884
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]~feeder                     ; 0                 ; 1       ;
1885
; mbus_addr3_i[17]                                                                                                  ;                   ;         ;
1886
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[26]                              ; 0                 ; 0       ;
1887
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][26]~feeder                     ; 0                 ; 0       ;
1888
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][26]~feeder                     ; 0                 ; 0       ;
1889
; mbus_addr0_i[17]                                                                                                  ;                   ;         ;
1890
; mbus_addr1_i[17]                                                                                                  ;                   ;         ;
1891
; mbus_addr2_i[18]                                                                                                  ;                   ;         ;
1892
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[27]                              ; 0                 ; 1       ;
1893
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][27]~feeder                     ; 0                 ; 1       ;
1894
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]~feeder                     ; 0                 ; 1       ;
1895
; mbus_addr3_i[18]                                                                                                  ;                   ;         ;
1896
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[27]                              ; 0                 ; 0       ;
1897
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][27]~feeder                     ; 0                 ; 0       ;
1898
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][27]~feeder                     ; 0                 ; 0       ;
1899
; mbus_addr0_i[18]                                                                                                  ;                   ;         ;
1900
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]                              ; 0                 ; 0       ;
1901
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27]~feeder                     ; 1                 ; 0       ;
1902
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27]~feeder                     ; 0                 ; 0       ;
1903
; mbus_addr1_i[18]                                                                                                  ;                   ;         ;
1904
; mbus_addr2_i[19]                                                                                                  ;                   ;         ;
1905
; mbus_addr3_i[19]                                                                                                  ;                   ;         ;
1906
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                            ; 0                 ; 1       ;
1907
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[28]                              ; 0                 ; 1       ;
1908
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][28]~feeder                     ; 0                 ; 1       ;
1909
; mbus_addr0_i[19]                                                                                                  ;                   ;         ;
1910
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]                              ; 0                 ; 0       ;
1911
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28]~feeder                     ; 0                 ; 0       ;
1912
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]~feeder                     ; 0                 ; 0       ;
1913
; mbus_addr1_i[19]                                                                                                  ;                   ;         ;
1914
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[28]                              ; 0                 ; 0       ;
1915
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][28]~feeder                     ; 0                 ; 0       ;
1916
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][28]~feeder                     ; 0                 ; 0       ;
1917
; mbus_addr2_i[20]                                                                                                  ;                   ;         ;
1918
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[29]                              ; 0                 ; 0       ;
1919
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][29]~feeder                     ; 0                 ; 0       ;
1920
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][29]~feeder                     ; 0                 ; 0       ;
1921
; mbus_addr3_i[20]                                                                                                  ;                   ;         ;
1922
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[29]                              ; 0                 ; 0       ;
1923
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][29]~feeder                     ; 0                 ; 0       ;
1924
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][29]~feeder                     ; 0                 ; 0       ;
1925
; mbus_addr0_i[20]                                                                                                  ;                   ;         ;
1926
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]                              ; 1                 ; 0       ;
1927
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]~feeder                     ; 1                 ; 0       ;
1928
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29]~feeder                     ; 1                 ; 0       ;
1929
; mbus_addr1_i[20]                                                                                                  ;                   ;         ;
1930
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[29]                              ; 0                 ; 0       ;
1931
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][29]~feeder                     ; 0                 ; 0       ;
1932
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][29]~feeder                     ; 0                 ; 0       ;
1933
; mbus_addr2_i[21]                                                                                                  ;                   ;         ;
1934
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][30]                            ; 1                 ; 0       ;
1935
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[30]                              ; 1                 ; 0       ;
1936
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][30]~feeder                     ; 1                 ; 0       ;
1937
; mbus_addr3_i[21]                                                                                                  ;                   ;         ;
1938
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[30]                              ; 0                 ; 0       ;
1939
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][30]~feeder                     ; 0                 ; 0       ;
1940
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][30]~feeder                     ; 0                 ; 0       ;
1941
; mbus_addr0_i[21]                                                                                                  ;                   ;         ;
1942
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]                              ; 0                 ; 1       ;
1943
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]~feeder                     ; 0                 ; 1       ;
1944
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]~feeder                     ; 0                 ; 1       ;
1945
; mbus_addr1_i[21]                                                                                                  ;                   ;         ;
1946
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][30]                            ; 1                 ; 0       ;
1947
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[30]                              ; 1                 ; 0       ;
1948
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][30]~feeder                     ; 1                 ; 0       ;
1949
; mbus_addr2_i[22]                                                                                                  ;                   ;         ;
1950
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                              ; 0                 ; 1       ;
1951
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]~feeder                     ; 1                 ; 2       ;
1952
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]~feeder                     ; 0                 ; 1       ;
1953
; mbus_addr3_i[22]                                                                                                  ;                   ;         ;
1954
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[31]                              ; 0                 ; 0       ;
1955
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][31]~feeder                     ; 0                 ; 0       ;
1956
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][31]~feeder                     ; 0                 ; 0       ;
1957
; mbus_addr0_i[22]                                                                                                  ;                   ;         ;
1958
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                              ; 0                 ; 1       ;
1959
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]~feeder                     ; 0                 ; 1       ;
1960
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]~feeder                     ; 0                 ; 1       ;
1961
; mbus_addr1_i[22]                                                                                                  ;                   ;         ;
1962
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[31]                              ; 0                 ; 0       ;
1963
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]~feeder                     ; 0                 ; 0       ;
1964
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]~feeder                     ; 0                 ; 0       ;
1965
; mbus_addr2_i[23]                                                                                                  ;                   ;         ;
1966
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[32]                              ; 1                 ; 0       ;
1967
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][32]~feeder                     ; 1                 ; 0       ;
1968
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][32]~feeder                     ; 1                 ; 0       ;
1969
; mbus_addr3_i[23]                                                                                                  ;                   ;         ;
1970
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[32]                              ; 0                 ; 1       ;
1971
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][32]~feeder                     ; 0                 ; 1       ;
1972
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]~feeder                     ; 0                 ; 1       ;
1973
; mbus_addr0_i[23]                                                                                                  ;                   ;         ;
1974
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]                              ; 0                 ; 0       ;
1975
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32]~feeder                     ; 0                 ; 0       ;
1976
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32]~feeder                     ; 0                 ; 0       ;
1977
; mbus_addr1_i[23]                                                                                                  ;                   ;         ;
1978
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[32]                              ; 1                 ; 1       ;
1979
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]~feeder                     ; 0                 ; 1       ;
1980
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]~feeder                     ; 1                 ; 1       ;
1981
; mbus_addr2_i[24]                                                                                                  ;                   ;         ;
1982
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[33]                              ; 1                 ; 0       ;
1983
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][33]~feeder                     ; 1                 ; 0       ;
1984
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][33]~feeder                     ; 1                 ; 0       ;
1985
; mbus_addr3_i[24]                                                                                                  ;                   ;         ;
1986
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[33]                              ; 0                 ; 0       ;
1987
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][33]~feeder                     ; 0                 ; 0       ;
1988
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][33]~feeder                     ; 0                 ; 0       ;
1989
; mbus_addr0_i[24]                                                                                                  ;                   ;         ;
1990
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]                              ; 1                 ; 0       ;
1991
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33]~feeder                     ; 1                 ; 0       ;
1992
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33]~feeder                     ; 1                 ; 0       ;
1993
; mbus_addr1_i[24]                                                                                                  ;                   ;         ;
1994
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[33]                              ; 1                 ; 0       ;
1995
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][33]~feeder                     ; 1                 ; 0       ;
1996
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][33]~feeder                     ; 1                 ; 0       ;
1997
; mbus_addr2_i[25]                                                                                                  ;                   ;         ;
1998
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[34]                              ; 0                 ; 2       ;
1999
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]~feeder                     ; 0                 ; 2       ;
2000
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]~feeder                     ; 0                 ; 2       ;
2001
; mbus_addr3_i[25]                                                                                                  ;                   ;         ;
2002
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][34]                            ; 0                 ; 1       ;
2003
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[34]                              ; 0                 ; 1       ;
2004
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][34]~feeder                     ; 0                 ; 1       ;
2005
; mbus_addr0_i[25]                                                                                                  ;                   ;         ;
2006
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]                              ; 1                 ; 0       ;
2007
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]~feeder                     ; 1                 ; 0       ;
2008
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34]~feeder                     ; 1                 ; 0       ;
2009
; mbus_addr1_i[25]                                                                                                  ;                   ;         ;
2010
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[34]                              ; 0                 ; 0       ;
2011
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][34]~feeder                     ; 0                 ; 0       ;
2012
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][34]~feeder                     ; 0                 ; 0       ;
2013
; mbus_addr2_i[26]                                                                                                  ;                   ;         ;
2014
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][35]                            ; 0                 ; 0       ;
2015
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[35]                              ; 0                 ; 0       ;
2016
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][35]~feeder                     ; 0                 ; 0       ;
2017
; mbus_addr3_i[26]                                                                                                  ;                   ;         ;
2018
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[35]                              ; 1                 ; 1       ;
2019
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][35]~feeder                     ; 1                 ; 1       ;
2020
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][35]~feeder                     ; 1                 ; 1       ;
2021
; mbus_addr0_i[26]                                                                                                  ;                   ;         ;
2022
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]                              ; 1                 ; 0       ;
2023
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]~feeder                     ; 1                 ; 0       ;
2024
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35]~feeder                     ; 1                 ; 0       ;
2025
; mbus_addr1_i[26]                                                                                                  ;                   ;         ;
2026
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[35]                              ; 0                 ; 1       ;
2027
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]~feeder                     ; 0                 ; 1       ;
2028
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][35]~feeder                     ; 0                 ; 1       ;
2029
; mbus_addr2_i[27]                                                                                                  ;                   ;         ;
2030
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[36]                              ; 0                 ; 0       ;
2031
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][36]~feeder                     ; 0                 ; 0       ;
2032
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][36]~feeder                     ; 0                 ; 0       ;
2033
; mbus_addr3_i[27]                                                                                                  ;                   ;         ;
2034
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[36]                              ; 1                 ; 0       ;
2035
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][36]~feeder                     ; 1                 ; 0       ;
2036
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][36]~feeder                     ; 1                 ; 0       ;
2037
; mbus_addr0_i[27]                                                                                                  ;                   ;         ;
2038
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]                              ; 0                 ; 0       ;
2039
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36]~feeder                     ; 0                 ; 0       ;
2040
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36]~feeder                     ; 0                 ; 0       ;
2041
; mbus_addr1_i[27]                                                                                                  ;                   ;         ;
2042
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[36]                              ; 0                 ; 1       ;
2043
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]~feeder                     ; 0                 ; 1       ;
2044
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]~feeder                     ; 0                 ; 1       ;
2045
; mbus_addr2_i[28]                                                                                                  ;                   ;         ;
2046
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][37]                            ; 1                 ; 1       ;
2047
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[37]                              ; 1                 ; 1       ;
2048
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][37]~feeder                     ; 1                 ; 1       ;
2049
; mbus_addr3_i[28]                                                                                                  ;                   ;         ;
2050
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[37]                              ; 0                 ; 0       ;
2051
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][37]~feeder                     ; 0                 ; 0       ;
2052
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][37]~feeder                     ; 0                 ; 0       ;
2053
; mbus_addr0_i[28]                                                                                                  ;                   ;         ;
2054
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]                              ; 1                 ; 0       ;
2055
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37]~feeder                     ; 1                 ; 0       ;
2056
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37]~feeder                     ; 1                 ; 0       ;
2057
; mbus_addr1_i[28]                                                                                                  ;                   ;         ;
2058
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][37]                            ; 1                 ; 0       ;
2059
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[37]                              ; 1                 ; 0       ;
2060
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]~feeder                     ; 1                 ; 0       ;
2061
; mbus_addr2_i[29]                                                                                                  ;                   ;         ;
2062
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[38]                              ; 1                 ; 0       ;
2063
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][38]~feeder                     ; 1                 ; 0       ;
2064
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][38]~feeder                     ; 1                 ; 0       ;
2065
; mbus_addr3_i[29]                                                                                                  ;                   ;         ;
2066
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[38]                              ; 0                 ; 0       ;
2067
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][38]~feeder                     ; 0                 ; 0       ;
2068
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][38]~feeder                     ; 0                 ; 0       ;
2069
; mbus_addr0_i[29]                                                                                                  ;                   ;         ;
2070
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]                              ; 1                 ; 1       ;
2071
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]~feeder                     ; 0                 ; 1       ;
2072
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]~feeder                     ; 1                 ; 1       ;
2073
; mbus_addr1_i[29]                                                                                                  ;                   ;         ;
2074
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[38]                              ; 1                 ; 0       ;
2075
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][38]~feeder                     ; 1                 ; 0       ;
2076
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][38]~feeder                     ; 1                 ; 0       ;
2077
; mbus_addr2_i[30]                                                                                                  ;                   ;         ;
2078
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[39]                              ; 0                 ; 0       ;
2079
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][39]~feeder                     ; 0                 ; 0       ;
2080
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][39]~feeder                     ; 0                 ; 0       ;
2081
; mbus_addr3_i[30]                                                                                                  ;                   ;         ;
2082
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[39]                              ; 1                 ; 1       ;
2083
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][39]~feeder                     ; 1                 ; 1       ;
2084
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][39]~feeder                     ; 1                 ; 1       ;
2085
; mbus_addr0_i[30]                                                                                                  ;                   ;         ;
2086
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]                              ; 0                 ; 1       ;
2087
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]~feeder                     ; 1                 ; 2       ;
2088
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]~feeder                     ; 1                 ; 2       ;
2089
; mbus_addr1_i[30]                                                                                                  ;                   ;         ;
2090
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[39]                              ; 0                 ; 1       ;
2091
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]~feeder                     ; 1                 ; 1       ;
2092
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]~feeder                     ; 0                 ; 1       ;
2093
; mbus_addr2_i[31]                                                                                                  ;                   ;         ;
2094
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[40]                              ; 0                 ; 0       ;
2095
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][40]~feeder                     ; 0                 ; 0       ;
2096
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][40]~feeder                     ; 0                 ; 0       ;
2097
; mbus_addr3_i[31]                                                                                                  ;                   ;         ;
2098
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][40]                            ; 1                 ; 1       ;
2099
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[40]                              ; 1                 ; 1       ;
2100
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][40]~feeder                     ; 1                 ; 1       ;
2101
; mbus_addr0_i[31]                                                                                                  ;                   ;         ;
2102
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40]                            ; 1                 ; 0       ;
2103
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]                              ; 1                 ; 0       ;
2104
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40]~feeder                     ; 1                 ; 0       ;
2105
; mbus_addr1_i[31]                                                                                                  ;                   ;         ;
2106
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[40]                              ; 0                 ; 0       ;
2107
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][40]~feeder                     ; 0                 ; 0       ;
2108
;      - mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]~feeder                     ; 0                 ; 0       ;
2109
+-------------------------------------------------------------------------------------------------------------------+-------------------+---------+
2110
 
2111
 
2112
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
2113
; Control Signals                                                                                                                                                                                                                     ;
2114
+--------------------------------------------------------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
2115
; Name                                                                                                   ; Location           ; Fan-Out ; Usage        ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2116
+--------------------------------------------------------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
2117
; clk                                                                                                    ; PIN_N11            ; 640     ; Clock        ; yes    ; Global Clock         ; GCLK29           ; --                        ;
2118
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]~1                       ; LCCOMB_X57_Y14_N30 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2119
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][7]~0                       ; LCCOMB_X57_Y14_N24 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2120
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|status_empty                        ; FF_X64_Y26_N21     ; 39      ; Sync. load   ; no     ; --                   ; --               ; --                        ;
2121
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][22]~1                      ; LCCOMB_X65_Y20_N26 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2122
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][26]~0                      ; LCCOMB_X65_Y20_N24 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2123
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|status_empty                        ; FF_X64_Y26_N1      ; 39      ; Sync. load   ; no     ; --                   ; --               ; --                        ;
2124
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][25]~1                      ; LCCOMB_X65_Y26_N4  ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2125
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]~0                      ; LCCOMB_X65_Y26_N2  ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2126
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                        ; FF_X64_Y26_N25     ; 39      ; Sync. load   ; no     ; --                   ; --               ; --                        ;
2127
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][26]~1                      ; LCCOMB_X61_Y22_N28 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2128
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][7]~0                       ; LCCOMB_X61_Y22_N10 ; 34      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2129
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                        ; FF_X64_Y26_N27     ; 39      ; Sync. load   ; no     ; --                   ; --               ; --                        ;
2130
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr0      ; LCCOMB_X64_Y26_N28 ; 7       ; Clock enable ; no     ; --                   ; --               ; --                        ;
2131
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~0                                ; LCCOMB_X62_Y26_N28 ; 36      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2132
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~2                                ; LCCOMB_X62_Y26_N16 ; 36      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2133
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~3                                ; LCCOMB_X63_Y26_N26 ; 36      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2134
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~4                                ; LCCOMB_X62_Y26_N30 ; 36      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2135
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                  ; FF_X68_Y28_N23     ; 26      ; Clock enable ; no     ; --                   ; --               ; --                        ;
2136
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3]~1 ; LCCOMB_X68_Y28_N30 ; 4       ; Clock enable ; no     ; --                   ; --               ; --                        ;
2137
; rst                                                                                                    ; PIN_M11            ; 640     ; Async. clear ; yes    ; Global Clock         ; GCLK28           ; --                        ;
2138
+--------------------------------------------------------------------------------------------------------+--------------------+---------+--------------+--------+----------------------+------------------+---------------------------+
2139
 
2140
 
2141
+----------------------------------------------------------------------------------------------------------------------------------------+
2142
; Global & Other Fast Signals                                                                                                            ;
2143
+------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2144
; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ;
2145
+------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2146
; clk  ; PIN_N11  ; 640     ; 21                                   ; Global Clock         ; GCLK29           ; --                        ;
2147
; rst  ; PIN_M11  ; 640     ; 0                                    ; Global Clock         ; GCLK28           ; --                        ;
2148
+------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+
2149
 
2150
 
2151
+------------------------------------------------------------------------------------------------------------------------------------+
2152
; Non-Global High Fan-Out Signals                                                                                                    ;
2153
+--------------------------------------------------------------------------------------------------------------------------+---------+
2154
; Name                                                                                                                     ; Fan-Out ;
2155
+--------------------------------------------------------------------------------------------------------------------------+---------+
2156
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[21]~1                                                ; 54      ;
2157
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[21]~0                                                ; 54      ;
2158
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_empty                                                ; 47      ;
2159
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[1]              ; 41      ;
2160
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[3]              ; 41      ;
2161
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[2]              ; 40      ;
2162
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]              ; 39      ;
2163
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|status_empty                                          ; 39      ;
2164
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                                          ; 39      ;
2165
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                                          ; 39      ;
2166
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|status_empty                                          ; 39      ;
2167
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~4                                                  ; 36      ;
2168
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~3                                                  ; 36      ;
2169
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~2                                                  ; 36      ;
2170
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~0                                                  ; 36      ;
2171
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[33]~0                                          ; 35      ;
2172
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]~0                                          ; 35      ;
2173
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[25]~0                                          ; 35      ;
2174
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[29]~0                                          ; 35      ;
2175
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][22]~1                                        ; 34      ;
2176
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][26]~0                                        ; 34      ;
2177
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]~1                                         ; 34      ;
2178
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][7]~0                                         ; 34      ;
2179
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][26]~1                                        ; 34      ;
2180
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][7]~0                                         ; 34      ;
2181
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][25]~1                                        ; 34      ;
2182
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]~0                                        ; 34      ;
2183
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; 26      ;
2184
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|WideOr0~0                                          ; 19      ;
2185
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_full                                                 ; 14      ;
2186
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|always0~0                                          ; 13      ;
2187
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|Equal0~0                                           ; 11      ;
2188
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_wr[0]                                                   ; 9       ;
2189
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[3]              ; 9       ;
2190
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[2]              ; 9       ;
2191
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[1]              ; 9       ;
2192
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[0]              ; 9       ;
2193
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[5]                                                   ; 8       ;
2194
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[6]                                                   ; 8       ;
2195
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr0                        ; 7       ;
2196
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broadcast_in_progress                              ; 7       ;
2197
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_rd[0]                                                   ; 7       ;
2198
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_wr[1]                                                   ; 6       ;
2199
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; 6       ;
2200
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; 6       ;
2201
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; 6       ;
2202
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]~_Duplicate_1 ; 6       ;
2203
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr0~1                      ; 5       ;
2204
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_rd_array_o[3]             ; 5       ;
2205
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~2            ; 5       ;
2206
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]                         ; 5       ;
2207
; mbus_cmd3_i[2]~input                                                                                                     ; 4       ;
2208
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_cpu_id_o[0]              ; 4       ;
2209
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[0]                ; 4       ;
2210
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[1]                ; 4       ;
2211
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[31]               ; 4       ;
2212
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[30]               ; 4       ;
2213
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[29]               ; 4       ;
2214
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[28]               ; 4       ;
2215
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[27]               ; 4       ;
2216
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[26]               ; 4       ;
2217
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[25]               ; 4       ;
2218
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[24]               ; 4       ;
2219
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[23]               ; 4       ;
2220
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[22]               ; 4       ;
2221
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[21]               ; 4       ;
2222
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[20]               ; 4       ;
2223
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[19]               ; 4       ;
2224
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[18]               ; 4       ;
2225
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[17]               ; 4       ;
2226
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[16]               ; 4       ;
2227
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[15]               ; 4       ;
2228
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[14]               ; 4       ;
2229
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[13]               ; 4       ;
2230
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[12]               ; 4       ;
2231
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[11]               ; 4       ;
2232
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[10]               ; 4       ;
2233
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[9]                ; 4       ;
2234
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[8]                ; 4       ;
2235
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[7]                ; 4       ;
2236
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[6]                ; 4       ;
2237
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[5]                ; 4       ;
2238
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[4]                ; 4       ;
2239
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[3]                ; 4       ;
2240
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[2]                ; 4       ;
2241
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[1]                ; 4       ;
2242
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|ptr_wr[0]                                             ; 4       ;
2243
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|ptr_wr[0]                                             ; 4       ;
2244
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|ptr_wr[0]                                             ; 4       ;
2245
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|ptr_wr[0]                                             ; 4       ;
2246
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[0]                ; 4       ;
2247
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3]~1                   ; 4       ;
2248
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~10           ; 4       ;
2249
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~9            ; 4       ;
2250
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0]                     ; 4       ;
2251
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1]                     ; 4       ;
2252
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2]                     ; 4       ;
2253
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3]                     ; 4       ;
2254
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]                         ; 4       ;
2255
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]                         ; 4       ;
2256
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]                         ; 4       ;
2257
; mbus_addr1_i[31]~input                                                                                                   ; 3       ;
2258
; mbus_addr0_i[31]~input                                                                                                   ; 3       ;
2259
; mbus_addr3_i[31]~input                                                                                                   ; 3       ;
2260
; mbus_addr2_i[31]~input                                                                                                   ; 3       ;
2261
; mbus_addr1_i[30]~input                                                                                                   ; 3       ;
2262
; mbus_addr0_i[30]~input                                                                                                   ; 3       ;
2263
; mbus_addr3_i[30]~input                                                                                                   ; 3       ;
2264
; mbus_addr2_i[30]~input                                                                                                   ; 3       ;
2265
; mbus_addr1_i[29]~input                                                                                                   ; 3       ;
2266
; mbus_addr0_i[29]~input                                                                                                   ; 3       ;
2267
; mbus_addr3_i[29]~input                                                                                                   ; 3       ;
2268
; mbus_addr2_i[29]~input                                                                                                   ; 3       ;
2269
; mbus_addr1_i[28]~input                                                                                                   ; 3       ;
2270
; mbus_addr0_i[28]~input                                                                                                   ; 3       ;
2271
; mbus_addr3_i[28]~input                                                                                                   ; 3       ;
2272
; mbus_addr2_i[28]~input                                                                                                   ; 3       ;
2273
; mbus_addr1_i[27]~input                                                                                                   ; 3       ;
2274
; mbus_addr0_i[27]~input                                                                                                   ; 3       ;
2275
; mbus_addr3_i[27]~input                                                                                                   ; 3       ;
2276
; mbus_addr2_i[27]~input                                                                                                   ; 3       ;
2277
; mbus_addr1_i[26]~input                                                                                                   ; 3       ;
2278
; mbus_addr0_i[26]~input                                                                                                   ; 3       ;
2279
; mbus_addr3_i[26]~input                                                                                                   ; 3       ;
2280
; mbus_addr2_i[26]~input                                                                                                   ; 3       ;
2281
; mbus_addr1_i[25]~input                                                                                                   ; 3       ;
2282
; mbus_addr0_i[25]~input                                                                                                   ; 3       ;
2283
; mbus_addr3_i[25]~input                                                                                                   ; 3       ;
2284
; mbus_addr2_i[25]~input                                                                                                   ; 3       ;
2285
; mbus_addr1_i[24]~input                                                                                                   ; 3       ;
2286
; mbus_addr0_i[24]~input                                                                                                   ; 3       ;
2287
; mbus_addr3_i[24]~input                                                                                                   ; 3       ;
2288
; mbus_addr2_i[24]~input                                                                                                   ; 3       ;
2289
; mbus_addr1_i[23]~input                                                                                                   ; 3       ;
2290
; mbus_addr0_i[23]~input                                                                                                   ; 3       ;
2291
; mbus_addr3_i[23]~input                                                                                                   ; 3       ;
2292
; mbus_addr2_i[23]~input                                                                                                   ; 3       ;
2293
; mbus_addr1_i[22]~input                                                                                                   ; 3       ;
2294
; mbus_addr0_i[22]~input                                                                                                   ; 3       ;
2295
; mbus_addr3_i[22]~input                                                                                                   ; 3       ;
2296
; mbus_addr2_i[22]~input                                                                                                   ; 3       ;
2297
; mbus_addr1_i[21]~input                                                                                                   ; 3       ;
2298
; mbus_addr0_i[21]~input                                                                                                   ; 3       ;
2299
; mbus_addr3_i[21]~input                                                                                                   ; 3       ;
2300
; mbus_addr2_i[21]~input                                                                                                   ; 3       ;
2301
; mbus_addr1_i[20]~input                                                                                                   ; 3       ;
2302
; mbus_addr0_i[20]~input                                                                                                   ; 3       ;
2303
; mbus_addr3_i[20]~input                                                                                                   ; 3       ;
2304
; mbus_addr2_i[20]~input                                                                                                   ; 3       ;
2305
; mbus_addr1_i[19]~input                                                                                                   ; 3       ;
2306
; mbus_addr0_i[19]~input                                                                                                   ; 3       ;
2307
; mbus_addr3_i[19]~input                                                                                                   ; 3       ;
2308
; mbus_addr2_i[19]~input                                                                                                   ; 3       ;
2309
; mbus_addr1_i[18]~input                                                                                                   ; 3       ;
2310
; mbus_addr0_i[18]~input                                                                                                   ; 3       ;
2311
; mbus_addr3_i[18]~input                                                                                                   ; 3       ;
2312
; mbus_addr2_i[18]~input                                                                                                   ; 3       ;
2313
; mbus_addr1_i[17]~input                                                                                                   ; 3       ;
2314
; mbus_addr0_i[17]~input                                                                                                   ; 3       ;
2315
; mbus_addr3_i[17]~input                                                                                                   ; 3       ;
2316
; mbus_addr2_i[17]~input                                                                                                   ; 3       ;
2317
; mbus_addr1_i[16]~input                                                                                                   ; 3       ;
2318
; mbus_addr0_i[16]~input                                                                                                   ; 3       ;
2319
; mbus_addr3_i[16]~input                                                                                                   ; 3       ;
2320
; mbus_addr2_i[16]~input                                                                                                   ; 3       ;
2321
; mbus_addr1_i[15]~input                                                                                                   ; 3       ;
2322
; mbus_addr0_i[15]~input                                                                                                   ; 3       ;
2323
; mbus_addr3_i[15]~input                                                                                                   ; 3       ;
2324
; mbus_addr2_i[15]~input                                                                                                   ; 3       ;
2325
; mbus_addr1_i[14]~input                                                                                                   ; 3       ;
2326
; mbus_addr0_i[14]~input                                                                                                   ; 3       ;
2327
; mbus_addr3_i[14]~input                                                                                                   ; 3       ;
2328
; mbus_addr2_i[14]~input                                                                                                   ; 3       ;
2329
; mbus_addr1_i[13]~input                                                                                                   ; 3       ;
2330
; mbus_addr0_i[13]~input                                                                                                   ; 3       ;
2331
; mbus_addr3_i[13]~input                                                                                                   ; 3       ;
2332
; mbus_addr2_i[13]~input                                                                                                   ; 3       ;
2333
; mbus_addr1_i[12]~input                                                                                                   ; 3       ;
2334
; mbus_addr0_i[12]~input                                                                                                   ; 3       ;
2335
; mbus_addr3_i[12]~input                                                                                                   ; 3       ;
2336
; mbus_addr2_i[12]~input                                                                                                   ; 3       ;
2337
; mbus_addr1_i[11]~input                                                                                                   ; 3       ;
2338
; mbus_addr0_i[11]~input                                                                                                   ; 3       ;
2339
; mbus_addr3_i[11]~input                                                                                                   ; 3       ;
2340
; mbus_addr2_i[11]~input                                                                                                   ; 3       ;
2341
; mbus_addr1_i[10]~input                                                                                                   ; 3       ;
2342
; mbus_addr0_i[10]~input                                                                                                   ; 3       ;
2343
; mbus_addr3_i[10]~input                                                                                                   ; 3       ;
2344
; mbus_addr2_i[10]~input                                                                                                   ; 3       ;
2345
; mbus_addr1_i[9]~input                                                                                                    ; 3       ;
2346
; mbus_addr0_i[9]~input                                                                                                    ; 3       ;
2347
; mbus_addr3_i[9]~input                                                                                                    ; 3       ;
2348
; mbus_addr2_i[9]~input                                                                                                    ; 3       ;
2349
; mbus_addr1_i[8]~input                                                                                                    ; 3       ;
2350
; mbus_addr0_i[8]~input                                                                                                    ; 3       ;
2351
; mbus_addr3_i[8]~input                                                                                                    ; 3       ;
2352
; mbus_addr2_i[8]~input                                                                                                    ; 3       ;
2353
; mbus_addr1_i[7]~input                                                                                                    ; 3       ;
2354
; mbus_addr0_i[7]~input                                                                                                    ; 3       ;
2355
; mbus_addr3_i[7]~input                                                                                                    ; 3       ;
2356
; mbus_addr2_i[7]~input                                                                                                    ; 3       ;
2357
; mbus_addr1_i[6]~input                                                                                                    ; 3       ;
2358
; mbus_addr0_i[6]~input                                                                                                    ; 3       ;
2359
; mbus_addr3_i[6]~input                                                                                                    ; 3       ;
2360
; mbus_addr2_i[6]~input                                                                                                    ; 3       ;
2361
; mbus_addr1_i[5]~input                                                                                                    ; 3       ;
2362
; mbus_addr0_i[5]~input                                                                                                    ; 3       ;
2363
; mbus_addr3_i[5]~input                                                                                                    ; 3       ;
2364
; mbus_addr2_i[5]~input                                                                                                    ; 3       ;
2365
; mbus_addr1_i[4]~input                                                                                                    ; 3       ;
2366
; mbus_addr0_i[4]~input                                                                                                    ; 3       ;
2367
; mbus_addr3_i[4]~input                                                                                                    ; 3       ;
2368
; mbus_addr2_i[4]~input                                                                                                    ; 3       ;
2369
; mbus_addr1_i[3]~input                                                                                                    ; 3       ;
2370
; mbus_addr0_i[3]~input                                                                                                    ; 3       ;
2371
; mbus_addr3_i[3]~input                                                                                                    ; 3       ;
2372
; mbus_addr2_i[3]~input                                                                                                    ; 3       ;
2373
; mbus_addr1_i[2]~input                                                                                                    ; 3       ;
2374
; mbus_addr0_i[2]~input                                                                                                    ; 3       ;
2375
; mbus_addr3_i[2]~input                                                                                                    ; 3       ;
2376
; mbus_addr2_i[2]~input                                                                                                    ; 3       ;
2377
; mbus_addr1_i[1]~input                                                                                                    ; 3       ;
2378
; mbus_addr0_i[1]~input                                                                                                    ; 3       ;
2379
; mbus_addr3_i[1]~input                                                                                                    ; 3       ;
2380
; mbus_addr2_i[1]~input                                                                                                    ; 3       ;
2381
; mbus_addr1_i[0]~input                                                                                                    ; 3       ;
2382
; mbus_addr0_i[0]~input                                                                                                    ; 3       ;
2383
; mbus_addr3_i[0]~input                                                                                                    ; 3       ;
2384
; mbus_addr2_i[0]~input                                                                                                    ; 3       ;
2385
; mbus_cmd1_i[1]~input                                                                                                     ; 3       ;
2386
; mbus_cmd1_i[0]~input                                                                                                     ; 3       ;
2387
; mbus_cmd1_i[2]~input                                                                                                     ; 3       ;
2388
; mbus_cmd3_i[1]~input                                                                                                     ; 3       ;
2389
; mbus_cmd3_i[0]~input                                                                                                     ; 3       ;
2390
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; 3       ;
2391
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; 3       ;
2392
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; 3       ;
2393
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; 3       ;
2394
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; 3       ;
2395
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; 3       ;
2396
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; 3       ;
2397
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; 3       ;
2398
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr0~0                      ; 3       ;
2399
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_rd[1]                                                   ; 3       ;
2400
; mbus_cmd0_i[2]~input                                                                                                     ; 2       ;
2401
; mbus_cmd0_i[1]~input                                                                                                     ; 2       ;
2402
; mbus_cmd0_i[0]~input                                                                                                     ; 2       ;
2403
; mbus_cmd2_i[2]~input                                                                                                     ; 2       ;
2404
; mbus_cmd2_i[1]~input                                                                                                     ; 2       ;
2405
; mbus_cmd2_i[0]~input                                                                                                     ; 2       ;
2406
; cbus_ack0_i~input                                                                                                        ; 2       ;
2407
; cbus_ack1_i~input                                                                                                        ; 2       ;
2408
; cbus_ack2_i~input                                                                                                        ; 2       ;
2409
; cbus_ack3_i~input                                                                                                        ; 2       ;
2410
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Add2~0                                                      ; 2       ;
2411
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|Add2~0                                                ; 2       ;
2412
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|ptr_rd[0]                                             ; 2       ;
2413
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_rd_array_o[0]             ; 2       ;
2414
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|Add2~0                                                ; 2       ;
2415
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|ptr_rd[0]                                             ; 2       ;
2416
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|Add2~0                                                ; 2       ;
2417
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|ptr_rd[0]                                             ; 2       ;
2418
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_rd_array_o[2]             ; 2       ;
2419
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|Add2~0                                                ; 2       ;
2420
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|ptr_rd[0]                                             ; 2       ;
2421
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_rd_array_o[1]             ; 2       ;
2422
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|Decoder0~1                                                  ; 2       ;
2423
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~5               ; 2       ;
2424
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|status_full                                           ; 2       ;
2425
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal7~0                       ; 2       ;
2426
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal6~0                       ; 2       ;
2427
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~4               ; 2       ;
2428
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|status_full                                           ; 2       ;
2429
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~2               ; 2       ;
2430
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_full                                           ; 2       ;
2431
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal3~0                       ; 2       ;
2432
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal2~0                       ; 2       ;
2433
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~1               ; 2       ;
2434
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_full                                           ; 2       ;
2435
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[0]~3              ; 2       ;
2436
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[0]~2              ; 2       ;
2437
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[1]~1              ; 2       ;
2438
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_type_o[1]~0              ; 2       ;
2439
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[31]~63            ; 2       ;
2440
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[31]~62            ; 2       ;
2441
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[30]~61            ; 2       ;
2442
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[30]~60            ; 2       ;
2443
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[29]~59            ; 2       ;
2444
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[29]~58            ; 2       ;
2445
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[28]~57            ; 2       ;
2446
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[28]~56            ; 2       ;
2447
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[27]~55            ; 2       ;
2448
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[27]~54            ; 2       ;
2449
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[26]~53            ; 2       ;
2450
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[26]~52            ; 2       ;
2451
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[25]~51            ; 2       ;
2452
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[25]~50            ; 2       ;
2453
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[24]~49            ; 2       ;
2454
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[24]~48            ; 2       ;
2455
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[23]~47            ; 2       ;
2456
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[23]~46            ; 2       ;
2457
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[22]~45            ; 2       ;
2458
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[22]~44            ; 2       ;
2459
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[21]~43            ; 2       ;
2460
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[21]~42            ; 2       ;
2461
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[20]~41            ; 2       ;
2462
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[20]~40            ; 2       ;
2463
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[19]~39            ; 2       ;
2464
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[19]~38            ; 2       ;
2465
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[18]~37            ; 2       ;
2466
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[18]~36            ; 2       ;
2467
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[17]~35            ; 2       ;
2468
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[17]~34            ; 2       ;
2469
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[16]~33            ; 2       ;
2470
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[16]~32            ; 2       ;
2471
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[15]~31            ; 2       ;
2472
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[15]~30            ; 2       ;
2473
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[14]~29            ; 2       ;
2474
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[14]~28            ; 2       ;
2475
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[13]~27            ; 2       ;
2476
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[13]~26            ; 2       ;
2477
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[12]~25            ; 2       ;
2478
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[12]~24            ; 2       ;
2479
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[11]~23            ; 2       ;
2480
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[11]~22            ; 2       ;
2481
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[10]~21            ; 2       ;
2482
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[10]~20            ; 2       ;
2483
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[9]~19             ; 2       ;
2484
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[9]~18             ; 2       ;
2485
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[8]~17             ; 2       ;
2486
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[8]~16             ; 2       ;
2487
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[7]~15             ; 2       ;
2488
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[7]~14             ; 2       ;
2489
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[6]~13             ; 2       ;
2490
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[6]~12             ; 2       ;
2491
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[5]~11             ; 2       ;
2492
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[5]~10             ; 2       ;
2493
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[4]~9              ; 2       ;
2494
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[4]~8              ; 2       ;
2495
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[3]~7              ; 2       ;
2496
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[3]~6              ; 2       ;
2497
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[2]~5              ; 2       ;
2498
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[2]~4              ; 2       ;
2499
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[1]~3              ; 2       ;
2500
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[1]~2              ; 2       ;
2501
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[0]~1              ; 2       ;
2502
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|broad_addr_o[0]~0              ; 2       ;
2503
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr1                        ; 2       ;
2504
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~5            ; 2       ;
2505
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr1~1                      ; 2       ;
2506
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|WideOr1~0                      ; 2       ;
2507
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~1            ; 2       ;
2508
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifo_select_oh[0]~0            ; 2       ;
2509
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                                                   ; 2       ;
2510
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                                                   ; 2       ;
2511
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]              ; 1       ;
2512
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; 1       ;
2513
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; 1       ;
2514
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; 1       ;
2515
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_wr[0]~1                                                 ; 1       ;
2516
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[1]~1            ; 1       ;
2517
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|fifos_priority[0]~0            ; 1       ;
2518
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_rd[0]~0                                                 ; 1       ;
2519
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal4~0                       ; 1       ;
2520
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal0~0                       ; 1       ;
2521
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal5~0                       ; 1       ;
2522
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|Equal1~0                       ; 1       ;
2523
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|ptr_wr[0]~0                                           ; 1       ;
2524
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|ptr_wr[0]~0                                           ; 1       ;
2525
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|ptr_wr[0]~0                                           ; 1       ;
2526
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|ptr_wr[0]~0                                           ; 1       ;
2527
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_full~1                                               ; 1       ;
2528
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_full~0                                               ; 1       ;
2529
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|ptr_wr[1]~0                                                 ; 1       ;
2530
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|status_full~0                                         ; 1       ;
2531
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|status_full~0                                         ; 1       ;
2532
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_full~0                                         ; 1       ;
2533
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_full~0                                         ; 1       ;
2534
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broadcast_in_progress~0                            ; 1       ;
2535
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~110                                                  ; 1       ;
2536
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~109                                                  ; 1       ;
2537
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][5]                                                 ; 1       ;
2538
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~108                                                  ; 1       ;
2539
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][5]                                                 ; 1       ;
2540
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][5]                                                 ; 1       ;
2541
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][5]                                                 ; 1       ;
2542
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~107                                                  ; 1       ;
2543
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~106                                                  ; 1       ;
2544
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][6]                                                 ; 1       ;
2545
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~105                                                  ; 1       ;
2546
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][6]                                                 ; 1       ;
2547
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][6]                                                 ; 1       ;
2548
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][6]                                                 ; 1       ;
2549
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~34                                             ; 1       ;
2550
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][7]                                           ; 1       ;
2551
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][7]                                           ; 1       ;
2552
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~34                                             ; 1       ;
2553
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]                                           ; 1       ;
2554
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][7]                                           ; 1       ;
2555
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~34                                             ; 1       ;
2556
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][7]                                           ; 1       ;
2557
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][7]                                           ; 1       ;
2558
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~34                                             ; 1       ;
2559
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][7]                                           ; 1       ;
2560
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][7]                                           ; 1       ;
2561
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~33                                             ; 1       ;
2562
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][8]                                           ; 1       ;
2563
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][8]                                           ; 1       ;
2564
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~33                                             ; 1       ;
2565
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]                                           ; 1       ;
2566
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][8]                                           ; 1       ;
2567
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~33                                             ; 1       ;
2568
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][8]                                           ; 1       ;
2569
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][8]                                           ; 1       ;
2570
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~33                                             ; 1       ;
2571
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][8]                                           ; 1       ;
2572
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][8]                                           ; 1       ;
2573
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~32                                             ; 1       ;
2574
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; 1       ;
2575
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][40]                                          ; 1       ;
2576
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~32                                             ; 1       ;
2577
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40]                                          ; 1       ;
2578
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40]                                          ; 1       ;
2579
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~32                                             ; 1       ;
2580
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][40]                                          ; 1       ;
2581
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][40]                                          ; 1       ;
2582
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~32                                             ; 1       ;
2583
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][40]                                          ; 1       ;
2584
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][40]                                          ; 1       ;
2585
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~31                                             ; 1       ;
2586
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; 1       ;
2587
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; 1       ;
2588
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~31                                             ; 1       ;
2589
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; 1       ;
2590
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; 1       ;
2591
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~31                                             ; 1       ;
2592
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][39]                                          ; 1       ;
2593
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][39]                                          ; 1       ;
2594
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~31                                             ; 1       ;
2595
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][39]                                          ; 1       ;
2596
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][39]                                          ; 1       ;
2597
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~30                                             ; 1       ;
2598
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][38]                                          ; 1       ;
2599
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][38]                                          ; 1       ;
2600
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~30                                             ; 1       ;
2601
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]                                          ; 1       ;
2602
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]                                          ; 1       ;
2603
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~30                                             ; 1       ;
2604
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][38]                                          ; 1       ;
2605
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][38]                                          ; 1       ;
2606
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~30                                             ; 1       ;
2607
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][38]                                          ; 1       ;
2608
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][38]                                          ; 1       ;
2609
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~29                                             ; 1       ;
2610
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; 1       ;
2611
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][37]                                          ; 1       ;
2612
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~29                                             ; 1       ;
2613
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37]                                          ; 1       ;
2614
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37]                                          ; 1       ;
2615
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~29                                             ; 1       ;
2616
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][37]                                          ; 1       ;
2617
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][37]                                          ; 1       ;
2618
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~29                                             ; 1       ;
2619
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][37]                                          ; 1       ;
2620
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][37]                                          ; 1       ;
2621
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~28                                             ; 1       ;
2622
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; 1       ;
2623
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; 1       ;
2624
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~28                                             ; 1       ;
2625
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36]                                          ; 1       ;
2626
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36]                                          ; 1       ;
2627
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~28                                             ; 1       ;
2628
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][36]                                          ; 1       ;
2629
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][36]                                          ; 1       ;
2630
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~28                                             ; 1       ;
2631
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][36]                                          ; 1       ;
2632
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][36]                                          ; 1       ;
2633
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~27                                             ; 1       ;
2634
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][35]                                          ; 1       ;
2635
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; 1       ;
2636
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~27                                             ; 1       ;
2637
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35]                                          ; 1       ;
2638
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]                                          ; 1       ;
2639
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~27                                             ; 1       ;
2640
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][35]                                          ; 1       ;
2641
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][35]                                          ; 1       ;
2642
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~27                                             ; 1       ;
2643
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][35]                                          ; 1       ;
2644
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][35]                                          ; 1       ;
2645
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~26                                             ; 1       ;
2646
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][34]                                          ; 1       ;
2647
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][34]                                          ; 1       ;
2648
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~26                                             ; 1       ;
2649
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34]                                          ; 1       ;
2650
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]                                          ; 1       ;
2651
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~26                                             ; 1       ;
2652
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][34]                                          ; 1       ;
2653
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][34]                                          ; 1       ;
2654
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~26                                             ; 1       ;
2655
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]                                          ; 1       ;
2656
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; 1       ;
2657
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~25                                             ; 1       ;
2658
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][33]                                          ; 1       ;
2659
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][33]                                          ; 1       ;
2660
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~25                                             ; 1       ;
2661
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33]                                          ; 1       ;
2662
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33]                                          ; 1       ;
2663
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~25                                             ; 1       ;
2664
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][33]                                          ; 1       ;
2665
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][33]                                          ; 1       ;
2666
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~25                                             ; 1       ;
2667
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][33]                                          ; 1       ;
2668
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][33]                                          ; 1       ;
2669
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~24                                             ; 1       ;
2670
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; 1       ;
2671
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; 1       ;
2672
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~24                                             ; 1       ;
2673
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32]                                          ; 1       ;
2674
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32]                                          ; 1       ;
2675
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~24                                             ; 1       ;
2676
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][32]                                          ; 1       ;
2677
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]                                          ; 1       ;
2678
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~24                                             ; 1       ;
2679
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][32]                                          ; 1       ;
2680
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][32]                                          ; 1       ;
2681
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~23                                             ; 1       ;
2682
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]                                          ; 1       ;
2683
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]                                          ; 1       ;
2684
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~23                                             ; 1       ;
2685
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; 1       ;
2686
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; 1       ;
2687
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~23                                             ; 1       ;
2688
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][31]                                          ; 1       ;
2689
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][31]                                          ; 1       ;
2690
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~23                                             ; 1       ;
2691
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; 1       ;
2692
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; 1       ;
2693
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~22                                             ; 1       ;
2694
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][30]                                          ; 1       ;
2695
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][30]                                          ; 1       ;
2696
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~22                                             ; 1       ;
2697
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]                                          ; 1       ;
2698
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; 1       ;
2699
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~22                                             ; 1       ;
2700
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][30]                                          ; 1       ;
2701
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][30]                                          ; 1       ;
2702
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~22                                             ; 1       ;
2703
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][30]                                          ; 1       ;
2704
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][30]                                          ; 1       ;
2705
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~21                                             ; 1       ;
2706
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][29]                                          ; 1       ;
2707
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][29]                                          ; 1       ;
2708
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~21                                             ; 1       ;
2709
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]                                          ; 1       ;
2710
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29]                                          ; 1       ;
2711
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~21                                             ; 1       ;
2712
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][29]                                          ; 1       ;
2713
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][29]                                          ; 1       ;
2714
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~21                                             ; 1       ;
2715
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][29]                                          ; 1       ;
2716
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][29]                                          ; 1       ;
2717
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~20                                             ; 1       ;
2718
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][28]                                          ; 1       ;
2719
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][28]                                          ; 1       ;
2720
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~20                                             ; 1       ;
2721
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28]                                          ; 1       ;
2722
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]                                          ; 1       ;
2723
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~20                                             ; 1       ;
2724
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; 1       ;
2725
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][28]                                          ; 1       ;
2726
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~20                                             ; 1       ;
2727
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][28]                                          ; 1       ;
2728
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][28]                                          ; 1       ;
2729
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~19                                             ; 1       ;
2730
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][27]                                          ; 1       ;
2731
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][27]                                          ; 1       ;
2732
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~19                                             ; 1       ;
2733
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27]                                          ; 1       ;
2734
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27]                                          ; 1       ;
2735
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~19                                             ; 1       ;
2736
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][27]                                          ; 1       ;
2737
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][27]                                          ; 1       ;
2738
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~19                                             ; 1       ;
2739
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][27]                                          ; 1       ;
2740
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; 1       ;
2741
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~18                                             ; 1       ;
2742
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][26]                                          ; 1       ;
2743
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][26]                                          ; 1       ;
2744
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~18                                             ; 1       ;
2745
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26]                                          ; 1       ;
2746
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26]                                          ; 1       ;
2747
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~18                                             ; 1       ;
2748
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][26]                                          ; 1       ;
2749
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][26]                                          ; 1       ;
2750
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~18                                             ; 1       ;
2751
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; 1       ;
2752
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; 1       ;
2753
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~17                                             ; 1       ;
2754
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][25]                                          ; 1       ;
2755
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][25]                                          ; 1       ;
2756
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~17                                             ; 1       ;
2757
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25]                                          ; 1       ;
2758
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25]                                          ; 1       ;
2759
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~17                                             ; 1       ;
2760
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][25]                                          ; 1       ;
2761
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][25]                                          ; 1       ;
2762
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~17                                             ; 1       ;
2763
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][25]                                          ; 1       ;
2764
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][25]                                          ; 1       ;
2765
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~16                                             ; 1       ;
2766
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][24]                                          ; 1       ;
2767
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][24]                                          ; 1       ;
2768
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~16                                             ; 1       ;
2769
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24]                                          ; 1       ;
2770
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; 1       ;
2771
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~16                                             ; 1       ;
2772
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][24]                                          ; 1       ;
2773
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][24]                                          ; 1       ;
2774
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~16                                             ; 1       ;
2775
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][24]                                          ; 1       ;
2776
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][24]                                          ; 1       ;
2777
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~15                                             ; 1       ;
2778
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][23]                                          ; 1       ;
2779
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][23]                                          ; 1       ;
2780
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~15                                             ; 1       ;
2781
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23]                                          ; 1       ;
2782
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23]                                          ; 1       ;
2783
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~15                                             ; 1       ;
2784
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][23]                                          ; 1       ;
2785
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; 1       ;
2786
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~15                                             ; 1       ;
2787
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][23]                                          ; 1       ;
2788
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]                                          ; 1       ;
2789
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~14                                             ; 1       ;
2790
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][22]                                          ; 1       ;
2791
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][22]                                          ; 1       ;
2792
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~14                                             ; 1       ;
2793
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22]                                          ; 1       ;
2794
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22]                                          ; 1       ;
2795
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~14                                             ; 1       ;
2796
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][22]                                          ; 1       ;
2797
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][22]                                          ; 1       ;
2798
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~14                                             ; 1       ;
2799
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][22]                                          ; 1       ;
2800
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][22]                                          ; 1       ;
2801
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~13                                             ; 1       ;
2802
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; 1       ;
2803
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; 1       ;
2804
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~13                                             ; 1       ;
2805
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]                                          ; 1       ;
2806
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; 1       ;
2807
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~13                                             ; 1       ;
2808
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][21]                                          ; 1       ;
2809
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; 1       ;
2810
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~13                                             ; 1       ;
2811
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; 1       ;
2812
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; 1       ;
2813
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~12                                             ; 1       ;
2814
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][20]                                          ; 1       ;
2815
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][20]                                          ; 1       ;
2816
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~12                                             ; 1       ;
2817
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20]                                          ; 1       ;
2818
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20]                                          ; 1       ;
2819
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~12                                             ; 1       ;
2820
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][20]                                          ; 1       ;
2821
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; 1       ;
2822
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~12                                             ; 1       ;
2823
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][20]                                          ; 1       ;
2824
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][20]                                          ; 1       ;
2825
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~11                                             ; 1       ;
2826
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]                                          ; 1       ;
2827
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; 1       ;
2828
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~11                                             ; 1       ;
2829
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19]                                          ; 1       ;
2830
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19]                                          ; 1       ;
2831
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~11                                             ; 1       ;
2832
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][19]                                          ; 1       ;
2833
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][19]                                          ; 1       ;
2834
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~11                                             ; 1       ;
2835
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][19]                                          ; 1       ;
2836
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][19]                                          ; 1       ;
2837
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~10                                             ; 1       ;
2838
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; 1       ;
2839
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; 1       ;
2840
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~10                                             ; 1       ;
2841
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18]                                          ; 1       ;
2842
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18]                                          ; 1       ;
2843
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~10                                             ; 1       ;
2844
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][18]                                          ; 1       ;
2845
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][18]                                          ; 1       ;
2846
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~10                                             ; 1       ;
2847
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]                                          ; 1       ;
2848
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                                          ; 1       ;
2849
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~9                                              ; 1       ;
2850
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][17]                                          ; 1       ;
2851
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][17]                                          ; 1       ;
2852
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~9                                              ; 1       ;
2853
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; 1       ;
2854
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]                                          ; 1       ;
2855
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~9                                              ; 1       ;
2856
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][17]                                          ; 1       ;
2857
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][17]                                          ; 1       ;
2858
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~9                                              ; 1       ;
2859
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]                                          ; 1       ;
2860
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][17]                                          ; 1       ;
2861
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~8                                              ; 1       ;
2862
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][16]                                          ; 1       ;
2863
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; 1       ;
2864
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~8                                              ; 1       ;
2865
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16]                                          ; 1       ;
2866
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; 1       ;
2867
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~8                                              ; 1       ;
2868
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][16]                                          ; 1       ;
2869
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; 1       ;
2870
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~8                                              ; 1       ;
2871
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][16]                                          ; 1       ;
2872
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][16]                                          ; 1       ;
2873
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~7                                              ; 1       ;
2874
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][15]                                          ; 1       ;
2875
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][15]                                          ; 1       ;
2876
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~7                                              ; 1       ;
2877
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15]                                          ; 1       ;
2878
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15]                                          ; 1       ;
2879
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~7                                              ; 1       ;
2880
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][15]                                          ; 1       ;
2881
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][15]                                          ; 1       ;
2882
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~7                                              ; 1       ;
2883
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; 1       ;
2884
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][15]                                          ; 1       ;
2885
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~6                                              ; 1       ;
2886
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; 1       ;
2887
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; 1       ;
2888
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~6                                              ; 1       ;
2889
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14]                                          ; 1       ;
2890
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14]                                          ; 1       ;
2891
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~6                                              ; 1       ;
2892
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; 1       ;
2893
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][14]                                          ; 1       ;
2894
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~6                                              ; 1       ;
2895
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; 1       ;
2896
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; 1       ;
2897
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~5                                              ; 1       ;
2898
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][13]                                          ; 1       ;
2899
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; 1       ;
2900
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~5                                              ; 1       ;
2901
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13]                                          ; 1       ;
2902
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13]                                          ; 1       ;
2903
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~5                                              ; 1       ;
2904
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][13]                                          ; 1       ;
2905
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][13]                                          ; 1       ;
2906
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~5                                              ; 1       ;
2907
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; 1       ;
2908
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; 1       ;
2909
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~4                                              ; 1       ;
2910
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][12]                                          ; 1       ;
2911
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][12]                                          ; 1       ;
2912
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~4                                              ; 1       ;
2913
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12]                                          ; 1       ;
2914
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12]                                          ; 1       ;
2915
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~4                                              ; 1       ;
2916
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][12]                                          ; 1       ;
2917
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][12]                                          ; 1       ;
2918
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~4                                              ; 1       ;
2919
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; 1       ;
2920
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; 1       ;
2921
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~3                                              ; 1       ;
2922
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][11]                                          ; 1       ;
2923
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][11]                                          ; 1       ;
2924
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~3                                              ; 1       ;
2925
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11]                                          ; 1       ;
2926
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11]                                          ; 1       ;
2927
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~3                                              ; 1       ;
2928
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][11]                                          ; 1       ;
2929
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][11]                                          ; 1       ;
2930
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~3                                              ; 1       ;
2931
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][11]                                          ; 1       ;
2932
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; 1       ;
2933
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~2                                              ; 1       ;
2934
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][10]                                          ; 1       ;
2935
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][10]                                          ; 1       ;
2936
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~2                                              ; 1       ;
2937
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10]                                          ; 1       ;
2938
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10]                                          ; 1       ;
2939
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~2                                              ; 1       ;
2940
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][10]                                          ; 1       ;
2941
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][10]                                          ; 1       ;
2942
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~2                                              ; 1       ;
2943
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][10]                                          ; 1       ;
2944
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][10]                                          ; 1       ;
2945
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_empty~1                                              ; 1       ;
2946
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|status_empty~0                                              ; 1       ;
2947
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o~1                                              ; 1       ;
2948
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][9]                                           ; 1       ;
2949
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][9]                                           ; 1       ;
2950
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o~1                                              ; 1       ;
2951
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]                                           ; 1       ;
2952
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][9]                                           ; 1       ;
2953
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o~1                                              ; 1       ;
2954
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][9]                                           ; 1       ;
2955
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][9]                                           ; 1       ;
2956
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o~1                                              ; 1       ;
2957
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][9]                                           ; 1       ;
2958
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][9]                                           ; 1       ;
2959
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|status_empty~0                                        ; 1       ;
2960
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty~0                                        ; 1       ;
2961
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty~0                                        ; 1       ;
2962
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|status_empty~0                                        ; 1       ;
2963
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[21]~104                                              ; 1       ;
2964
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~3               ; 1       ;
2965
; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array~0               ; 1       ;
2966
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array~4                      ; 1       ;
2967
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array~3                      ; 1       ;
2968
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array~2                      ; 1       ;
2969
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o~2                                  ; 1       ;
2970
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o~1                                  ; 1       ;
2971
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o~0                                  ; 1       ;
2972
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_cmd3~1                                        ; 1       ;
2973
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array~0                      ; 1       ;
2974
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~11                         ; 1       ;
2975
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~10                         ; 1       ;
2976
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~9                          ; 1       ;
2977
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~8                          ; 1       ;
2978
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~7                          ; 1       ;
2979
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~6                          ; 1       ;
2980
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~5                          ; 1       ;
2981
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~4                          ; 1       ;
2982
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~3                          ; 1       ;
2983
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~2                          ; 1       ;
2984
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~1                          ; 1       ;
2985
; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array~0                          ; 1       ;
2986
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~103                                                  ; 1       ;
2987
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~102                                                  ; 1       ;
2988
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][7]                                                 ; 1       ;
2989
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~101                                                  ; 1       ;
2990
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][7]                                                 ; 1       ;
2991
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][7]                                                 ; 1       ;
2992
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][7]                                                 ; 1       ;
2993
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~100                                                  ; 1       ;
2994
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~99                                                   ; 1       ;
2995
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][8]                                                 ; 1       ;
2996
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~98                                                   ; 1       ;
2997
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][8]                                                 ; 1       ;
2998
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][8]                                                 ; 1       ;
2999
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][8]                                                 ; 1       ;
3000
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~97                                                   ; 1       ;
3001
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~96                                                   ; 1       ;
3002
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][40]                                                ; 1       ;
3003
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~95                                                   ; 1       ;
3004
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][40]                                                ; 1       ;
3005
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][40]                                                ; 1       ;
3006
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][40]                                                ; 1       ;
3007
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~94                                                   ; 1       ;
3008
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~93                                                   ; 1       ;
3009
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][39]                                                ; 1       ;
3010
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~92                                                   ; 1       ;
3011
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][39]                                                ; 1       ;
3012
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][39]                                                ; 1       ;
3013
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][39]                                                ; 1       ;
3014
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~91                                                   ; 1       ;
3015
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~90                                                   ; 1       ;
3016
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][38]                                                ; 1       ;
3017
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~89                                                   ; 1       ;
3018
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][38]                                                ; 1       ;
3019
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][38]                                                ; 1       ;
3020
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][38]                                                ; 1       ;
3021
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~88                                                   ; 1       ;
3022
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~87                                                   ; 1       ;
3023
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][37]                                                ; 1       ;
3024
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~86                                                   ; 1       ;
3025
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][37]                                                ; 1       ;
3026
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][37]                                                ; 1       ;
3027
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][37]                                                ; 1       ;
3028
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~85                                                   ; 1       ;
3029
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~84                                                   ; 1       ;
3030
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][36]                                                ; 1       ;
3031
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~83                                                   ; 1       ;
3032
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][36]                                                ; 1       ;
3033
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][36]                                                ; 1       ;
3034
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][36]                                                ; 1       ;
3035
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~82                                                   ; 1       ;
3036
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~81                                                   ; 1       ;
3037
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][35]                                                ; 1       ;
3038
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~80                                                   ; 1       ;
3039
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][35]                                                ; 1       ;
3040
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][35]                                                ; 1       ;
3041
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][35]                                                ; 1       ;
3042
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~79                                                   ; 1       ;
3043
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~78                                                   ; 1       ;
3044
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][34]                                                ; 1       ;
3045
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~77                                                   ; 1       ;
3046
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][34]                                                ; 1       ;
3047
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][34]                                                ; 1       ;
3048
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][34]                                                ; 1       ;
3049
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~76                                                   ; 1       ;
3050
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~75                                                   ; 1       ;
3051
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][33]                                                ; 1       ;
3052
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~74                                                   ; 1       ;
3053
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][33]                                                ; 1       ;
3054
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][33]                                                ; 1       ;
3055
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][33]                                                ; 1       ;
3056
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~73                                                   ; 1       ;
3057
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~72                                                   ; 1       ;
3058
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][32]                                                ; 1       ;
3059
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~71                                                   ; 1       ;
3060
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][32]                                                ; 1       ;
3061
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][32]                                                ; 1       ;
3062
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][32]                                                ; 1       ;
3063
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~70                                                   ; 1       ;
3064
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~69                                                   ; 1       ;
3065
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][31]                                                ; 1       ;
3066
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~68                                                   ; 1       ;
3067
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][31]                                                ; 1       ;
3068
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][31]                                                ; 1       ;
3069
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][31]                                                ; 1       ;
3070
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~67                                                   ; 1       ;
3071
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~66                                                   ; 1       ;
3072
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][30]                                                ; 1       ;
3073
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~65                                                   ; 1       ;
3074
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][30]                                                ; 1       ;
3075
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][30]                                                ; 1       ;
3076
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][30]                                                ; 1       ;
3077
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~64                                                   ; 1       ;
3078
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~63                                                   ; 1       ;
3079
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][29]                                                ; 1       ;
3080
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~62                                                   ; 1       ;
3081
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][29]                                                ; 1       ;
3082
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][29]                                                ; 1       ;
3083
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][29]                                                ; 1       ;
3084
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~61                                                   ; 1       ;
3085
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~60                                                   ; 1       ;
3086
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][28]                                                ; 1       ;
3087
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~59                                                   ; 1       ;
3088
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][28]                                                ; 1       ;
3089
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][28]                                                ; 1       ;
3090
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][28]                                                ; 1       ;
3091
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~58                                                   ; 1       ;
3092
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~57                                                   ; 1       ;
3093
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][27]                                                ; 1       ;
3094
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~56                                                   ; 1       ;
3095
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][27]                                                ; 1       ;
3096
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][27]                                                ; 1       ;
3097
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][27]                                                ; 1       ;
3098
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~55                                                   ; 1       ;
3099
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~54                                                   ; 1       ;
3100
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][26]                                                ; 1       ;
3101
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~53                                                   ; 1       ;
3102
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][26]                                                ; 1       ;
3103
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][26]                                                ; 1       ;
3104
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][26]                                                ; 1       ;
3105
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~52                                                   ; 1       ;
3106
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~51                                                   ; 1       ;
3107
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][25]                                                ; 1       ;
3108
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~50                                                   ; 1       ;
3109
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][25]                                                ; 1       ;
3110
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][25]                                                ; 1       ;
3111
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][25]                                                ; 1       ;
3112
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~49                                                   ; 1       ;
3113
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~48                                                   ; 1       ;
3114
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][24]                                                ; 1       ;
3115
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~47                                                   ; 1       ;
3116
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][24]                                                ; 1       ;
3117
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][24]                                                ; 1       ;
3118
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][24]                                                ; 1       ;
3119
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~46                                                   ; 1       ;
3120
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~45                                                   ; 1       ;
3121
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][23]                                                ; 1       ;
3122
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~44                                                   ; 1       ;
3123
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][23]                                                ; 1       ;
3124
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][23]                                                ; 1       ;
3125
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][23]                                                ; 1       ;
3126
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~43                                                   ; 1       ;
3127
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~42                                                   ; 1       ;
3128
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][22]                                                ; 1       ;
3129
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~41                                                   ; 1       ;
3130
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][22]                                                ; 1       ;
3131
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][22]                                                ; 1       ;
3132
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][22]                                                ; 1       ;
3133
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~40                                                   ; 1       ;
3134
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~39                                                   ; 1       ;
3135
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][21]                                                ; 1       ;
3136
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~38                                                   ; 1       ;
3137
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][21]                                                ; 1       ;
3138
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][21]                                                ; 1       ;
3139
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][21]                                                ; 1       ;
3140
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~37                                                   ; 1       ;
3141
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~36                                                   ; 1       ;
3142
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][20]                                                ; 1       ;
3143
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~35                                                   ; 1       ;
3144
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][20]                                                ; 1       ;
3145
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][20]                                                ; 1       ;
3146
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][20]                                                ; 1       ;
3147
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~34                                                   ; 1       ;
3148
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~33                                                   ; 1       ;
3149
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[3][19]                                                ; 1       ;
3150
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~32                                                   ; 1       ;
3151
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[0][19]                                                ; 1       ;
3152
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[1][19]                                                ; 1       ;
3153
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|entry[2][19]                                                ; 1       ;
3154
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~31                                                   ; 1       ;
3155
; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o~30                                                   ; 1       ;
3156
+--------------------------------------------------------------------------------------------------------------------------+---------+
3157
 
3158
 
3159
+---------------------------------------------------------------+
3160
; Interconnect Usage Summary                                    ;
3161
+-----------------------------------+---------------------------+
3162
; Interconnect Resource Type        ; Usage                     ;
3163
+-----------------------------------+---------------------------+
3164
; Block interconnects               ; 1,490 / 232,464 ( < 1 % ) ;
3165
; C16 interconnects                 ; 367 / 6,642 ( 6 % )       ;
3166
; C4 interconnects                  ; 1,140 / 136,080 ( < 1 % ) ;
3167
; Direct links                      ; 241 / 232,464 ( < 1 % )   ;
3168
; GXB block output buffers          ; 0 / 2,640 ( 0 % )         ;
3169
; Global clocks                     ; 2 / 30 ( 7 % )            ;
3170
; Interquad Reference Clock Outputs ; 0 / 2 ( 0 % )             ;
3171
; Interquad TXRX Clocks             ; 0 / 16 ( 0 % )            ;
3172
; Interquad TXRX PCSRX outputs      ; 0 / 8 ( 0 % )             ;
3173
; Interquad TXRX PCSTX outputs      ; 0 / 8 ( 0 % )             ;
3174
; Local interconnects               ; 345 / 73,920 ( < 1 % )    ;
3175
; R24 interconnects                 ; 193 / 6,930 ( 3 % )       ;
3176
; R4 interconnects                  ; 1,187 / 190,740 ( < 1 % ) ;
3177
+-----------------------------------+---------------------------+
3178
 
3179
 
3180
+----------------------------------------------------------------------------+
3181
; LAB Logic Elements                                                         ;
3182
+--------------------------------------------+-------------------------------+
3183
; Number of Logic Elements  (Average = 5.70) ; Number of LABs  (Total = 145) ;
3184
+--------------------------------------------+-------------------------------+
3185
; 1                                          ; 26                            ;
3186
; 2                                          ; 34                            ;
3187
; 3                                          ; 13                            ;
3188
; 4                                          ; 15                            ;
3189
; 5                                          ; 3                             ;
3190
; 6                                          ; 6                             ;
3191
; 7                                          ; 5                             ;
3192
; 8                                          ; 5                             ;
3193
; 9                                          ; 1                             ;
3194
; 10                                         ; 8                             ;
3195
; 11                                         ; 3                             ;
3196
; 12                                         ; 0                             ;
3197
; 13                                         ; 6                             ;
3198
; 14                                         ; 5                             ;
3199
; 15                                         ; 2                             ;
3200
; 16                                         ; 13                            ;
3201
+--------------------------------------------+-------------------------------+
3202
 
3203
 
3204
+--------------------------------------------------------------------+
3205
; LAB-wide Signals                                                   ;
3206
+------------------------------------+-------------------------------+
3207
; LAB-wide Signals  (Average = 3.22) ; Number of LABs  (Total = 145) ;
3208
+------------------------------------+-------------------------------+
3209
; 1 Async. clear                     ; 142                           ;
3210
; 1 Clock                            ; 142                           ;
3211
; 1 Clock enable                     ; 102                           ;
3212
; 1 Sync. load                       ; 56                            ;
3213
; 2 Clock enables                    ; 25                            ;
3214
+------------------------------------+-------------------------------+
3215
 
3216
 
3217
+-----------------------------------------------------------------------------+
3218
; LAB Signals Sourced                                                         ;
3219
+---------------------------------------------+-------------------------------+
3220
; Number of Signals Sourced  (Average = 9.72) ; Number of LABs  (Total = 145) ;
3221
+---------------------------------------------+-------------------------------+
3222
; 0                                           ; 0                             ;
3223
; 1                                           ; 7                             ;
3224
; 2                                           ; 19                            ;
3225
; 3                                           ; 6                             ;
3226
; 4                                           ; 31                            ;
3227
; 5                                           ; 4                             ;
3228
; 6                                           ; 9                             ;
3229
; 7                                           ; 1                             ;
3230
; 8                                           ; 12                            ;
3231
; 9                                           ; 1                             ;
3232
; 10                                          ; 4                             ;
3233
; 11                                          ; 4                             ;
3234
; 12                                          ; 4                             ;
3235
; 13                                          ; 1                             ;
3236
; 14                                          ; 5                             ;
3237
; 15                                          ; 1                             ;
3238
; 16                                          ; 4                             ;
3239
; 17                                          ; 2                             ;
3240
; 18                                          ; 1                             ;
3241
; 19                                          ; 4                             ;
3242
; 20                                          ; 5                             ;
3243
; 21                                          ; 4                             ;
3244
; 22                                          ; 3                             ;
3245
; 23                                          ; 0                             ;
3246
; 24                                          ; 1                             ;
3247
; 25                                          ; 0                             ;
3248
; 26                                          ; 4                             ;
3249
; 27                                          ; 1                             ;
3250
; 28                                          ; 2                             ;
3251
; 29                                          ; 1                             ;
3252
; 30                                          ; 1                             ;
3253
; 31                                          ; 2                             ;
3254
; 32                                          ; 1                             ;
3255
+---------------------------------------------+-------------------------------+
3256
 
3257
 
3258
+---------------------------------------------------------------------------------+
3259
; LAB Signals Sourced Out                                                         ;
3260
+-------------------------------------------------+-------------------------------+
3261
; Number of Signals Sourced Out  (Average = 4.14) ; Number of LABs  (Total = 145) ;
3262
+-------------------------------------------------+-------------------------------+
3263
; 0                                               ; 0                             ;
3264
; 1                                               ; 39                            ;
3265
; 2                                               ; 33                            ;
3266
; 3                                               ; 16                            ;
3267
; 4                                               ; 12                            ;
3268
; 5                                               ; 7                             ;
3269
; 6                                               ; 9                             ;
3270
; 7                                               ; 5                             ;
3271
; 8                                               ; 6                             ;
3272
; 9                                               ; 1                             ;
3273
; 10                                              ; 2                             ;
3274
; 11                                              ; 4                             ;
3275
; 12                                              ; 3                             ;
3276
; 13                                              ; 2                             ;
3277
; 14                                              ; 2                             ;
3278
; 15                                              ; 1                             ;
3279
; 16                                              ; 2                             ;
3280
; 17                                              ; 0                             ;
3281
; 18                                              ; 1                             ;
3282
+-------------------------------------------------+-------------------------------+
3283
 
3284
 
3285
+------------------------------------------------------------------------------+
3286
; LAB Distinct Inputs                                                          ;
3287
+----------------------------------------------+-------------------------------+
3288
; Number of Distinct Inputs  (Average = 10.74) ; Number of LABs  (Total = 145) ;
3289
+----------------------------------------------+-------------------------------+
3290
; 0                                            ; 0                             ;
3291
; 1                                            ; 0                             ;
3292
; 2                                            ; 0                             ;
3293
; 3                                            ; 0                             ;
3294
; 4                                            ; 24                            ;
3295
; 5                                            ; 18                            ;
3296
; 6                                            ; 14                            ;
3297
; 7                                            ; 20                            ;
3298
; 8                                            ; 3                             ;
3299
; 9                                            ; 12                            ;
3300
; 10                                           ; 3                             ;
3301
; 11                                           ; 4                             ;
3302
; 12                                           ; 5                             ;
3303
; 13                                           ; 4                             ;
3304
; 14                                           ; 3                             ;
3305
; 15                                           ; 5                             ;
3306
; 16                                           ; 1                             ;
3307
; 17                                           ; 0                             ;
3308
; 18                                           ; 4                             ;
3309
; 19                                           ; 2                             ;
3310
; 20                                           ; 2                             ;
3311
; 21                                           ; 1                             ;
3312
; 22                                           ; 2                             ;
3313
; 23                                           ; 2                             ;
3314
; 24                                           ; 0                             ;
3315
; 25                                           ; 4                             ;
3316
; 26                                           ; 3                             ;
3317
; 27                                           ; 2                             ;
3318
; 28                                           ; 4                             ;
3319
; 29                                           ; 2                             ;
3320
; 30                                           ; 0                             ;
3321
; 31                                           ; 0                             ;
3322
; 32                                           ; 0                             ;
3323
; 33                                           ; 1                             ;
3324
+----------------------------------------------+-------------------------------+
3325
 
3326
 
3327
+------------------------------------------+
3328
; I/O Rules Summary                        ;
3329
+----------------------------------+-------+
3330
; I/O Rules Statistic              ; Total ;
3331
+----------------------------------+-------+
3332
; Total I/O Rules                  ; 30    ;
3333
; Number of I/O Rules Passed       ; 10    ;
3334
; Number of I/O Rules Failed       ; 0     ;
3335
; Number of I/O Rules Unchecked    ; 0     ;
3336
; Number of I/O Rules Inapplicable ; 20    ;
3337
+----------------------------------+-------+
3338
 
3339
 
3340
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3341
; I/O Rules Details                                                                                                                                                                                                                                                                                   ;
3342
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
3343
; Status       ; ID        ; Category                          ; Rule Description                                                                                     ; Severity ; Information                                                              ; Area                ; Extra Information ;
3344
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
3345
; Inapplicable ; IO_000001 ; Capacity Checks                   ; Number of pins in an I/O bank should not exceed the number of locations available.                   ; Critical ; No Location assignments found.                                           ; I/O                 ;                   ;
3346
; Pass         ; IO_000002 ; Capacity Checks                   ; Number of clocks in an I/O bank should not exceed the number of clocks available.                    ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3347
; Inapplicable ; IO_000003 ; Capacity Checks                   ; Number of pins in a Vrefgroup should not exceed the number of locations available.                   ; Critical ; No Location assignments found.                                           ; I/O                 ;                   ;
3348
; Inapplicable ; IO_000004 ; Voltage Compatibility Checks      ; The I/O bank should support the requested VCCIO.                                                     ; Critical ; No IOBANK_VCCIO assignments found.                                       ; I/O                 ;                   ;
3349
; Inapplicable ; IO_000005 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VREF values.                                                  ; Critical ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
3350
; Pass         ; IO_000006 ; Voltage Compatibility Checks      ; The I/O bank should not have competing VCCIO values.                                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3351
; Inapplicable ; IO_000007 ; Valid Location Checks             ; Checks for unavailable locations.                                                                    ; Critical ; No Location assignments found.                                           ; I/O                 ;                   ;
3352
; Inapplicable ; IO_000008 ; Valid Location Checks             ; Checks for reserved locations.                                                                       ; Critical ; No reserved LogicLock region found.                                      ; I/O                 ;                   ;
3353
; Pass         ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard.                                              ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3354
; Pass         ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction.                                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3355
; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength.                                          ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
3356
; Pass         ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value.                                 ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3357
; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value.                                            ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
3358
; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value.                                        ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
3359
; Pass         ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode.                                           ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3360
; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength.                                      ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
3361
; Pass         ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value.                             ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3362
; Pass         ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode.                                       ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3363
; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value.                                    ; Critical ; No Weak Pull-Up Resistor assignments found.                              ; I/O                 ;                   ;
3364
; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value.                                        ; Critical ; No Enable Bus-Hold Circuitry assignments found.                          ; I/O                 ;                   ;
3365
; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value.                                                ; Critical ; No open drain assignments found.                                         ; I/O                 ;                   ;
3366
; Pass         ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value.                                      ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3367
; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time.                        ; Critical ; No Current Strength assignments found.                                   ; I/O                 ;                   ;
3368
; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time.                                       ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O                 ;                   ;
3369
; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value.                                       ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
3370
; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value.                                           ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
3371
; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time.                               ; Critical ; No Slew Rate assignments found.                                          ; I/O                 ;                   ;
3372
; Pass         ; IO_000033 ; Electromigration Checks           ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found.                                                   ; I/O                 ;                   ;
3373
; Inapplicable ; IO_000034 ; SI Related Distance Checks        ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O.                            ; High     ; No Differential I/O Standard assignments found.                          ; I/O                 ;                   ;
3374
; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks       ; No more than 20 outputs are allowed in a VREF group when VREF is being read from.                    ; High     ; No VREF I/O Standard assignments found.                                  ; I/O                 ;                   ;
3375
; ----         ; ----      ; Disclaimer                        ; OCT rules are checked but not reported.                                                              ; None     ; ----                                                                     ; On Chip Termination ;                   ;
3376
+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+
3377
 
3378
 
3379
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3380
; I/O Rules Matrix                                                                                                                                                                                                                                                                                                                                                                                                                                                         ;
3381
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
3382
; Pin/Rules          ; IO_000001    ; IO_000002    ; IO_000003    ; IO_000004    ; IO_000005    ; IO_000006 ; IO_000007    ; IO_000008    ; IO_000009 ; IO_000010 ; IO_000011    ; IO_000012    ; IO_000013    ; IO_000014    ; IO_000015    ; IO_000018    ; IO_000019    ; IO_000020    ; IO_000021    ; IO_000022    ; IO_000023    ; IO_000024    ; IO_000026    ; IO_000027    ; IO_000045    ; IO_000046    ; IO_000047    ; IO_000033 ; IO_000034    ; IO_000042    ;
3383
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
3384
; Total Pass         ; 0            ; 36           ; 0            ; 0            ; 0            ; 194       ; 0            ; 0            ; 194       ; 194       ; 0            ; 48           ; 0            ; 0            ; 146          ; 0            ; 48           ; 146          ; 0            ; 0            ; 0            ; 48           ; 0            ; 0            ; 0            ; 0            ; 0            ; 194       ; 0            ; 0            ;
3385
; Total Unchecked    ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
3386
; Total Inapplicable ; 194          ; 158          ; 194          ; 194          ; 194          ; 0         ; 194          ; 194          ; 0         ; 0         ; 194          ; 146          ; 194          ; 194          ; 48           ; 194          ; 146          ; 48           ; 194          ; 194          ; 194          ; 146          ; 194          ; 194          ; 194          ; 194          ; 194          ; 0         ; 194          ; 194          ;
3387
; Total Fail         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ; 0         ; 0         ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0            ; 0         ; 0            ; 0            ;
3388
; cbus_addr_o[0]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3389
; cbus_addr_o[1]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3390
; cbus_addr_o[2]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3391
; cbus_addr_o[3]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3392
; cbus_addr_o[4]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3393
; cbus_addr_o[5]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3394
; cbus_addr_o[6]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3395
; cbus_addr_o[7]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3396
; cbus_addr_o[8]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3397
; cbus_addr_o[9]     ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3398
; cbus_addr_o[10]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3399
; cbus_addr_o[11]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3400
; cbus_addr_o[12]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3401
; cbus_addr_o[13]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3402
; cbus_addr_o[14]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3403
; cbus_addr_o[15]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3404
; cbus_addr_o[16]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3405
; cbus_addr_o[17]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3406
; cbus_addr_o[18]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3407
; cbus_addr_o[19]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3408
; cbus_addr_o[20]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3409
; cbus_addr_o[21]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3410
; cbus_addr_o[22]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3411
; cbus_addr_o[23]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3412
; cbus_addr_o[24]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3413
; cbus_addr_o[25]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3414
; cbus_addr_o[26]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3415
; cbus_addr_o[27]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3416
; cbus_addr_o[28]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3417
; cbus_addr_o[29]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3418
; cbus_addr_o[30]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3419
; cbus_addr_o[31]    ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3420
; cbus_cmd3_o[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3421
; cbus_cmd3_o[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3422
; cbus_cmd3_o[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3423
; cbus_cmd2_o[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3424
; cbus_cmd2_o[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3425
; cbus_cmd2_o[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3426
; cbus_cmd1_o[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3427
; cbus_cmd1_o[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3428
; cbus_cmd1_o[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3429
; cbus_cmd0_o[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3430
; cbus_cmd0_o[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3431
; cbus_cmd0_o[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3432
; mbus_ack3_o        ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3433
; mbus_ack2_o        ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3434
; mbus_ack1_o        ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3435
; mbus_ack0_o        ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3436
; clk                ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3437
; rst                ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3438
; cbus_ack3_i        ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3439
; cbus_ack2_i        ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3440
; cbus_ack1_i        ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3441
; cbus_ack0_i        ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3442
; mbus_cmd3_i[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3443
; mbus_cmd3_i[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3444
; mbus_cmd3_i[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3445
; mbus_cmd2_i[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3446
; mbus_cmd2_i[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3447
; mbus_cmd2_i[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3448
; mbus_cmd1_i[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3449
; mbus_cmd1_i[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3450
; mbus_cmd1_i[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3451
; mbus_cmd0_i[0]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3452
; mbus_cmd0_i[1]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3453
; mbus_cmd0_i[2]     ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3454
; mbus_addr2_i[0]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3455
; mbus_addr3_i[0]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3456
; mbus_addr0_i[0]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3457
; mbus_addr1_i[0]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3458
; mbus_addr2_i[1]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3459
; mbus_addr3_i[1]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3460
; mbus_addr0_i[1]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3461
; mbus_addr1_i[1]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3462
; mbus_addr2_i[2]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3463
; mbus_addr3_i[2]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3464
; mbus_addr0_i[2]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3465
; mbus_addr1_i[2]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3466
; mbus_addr2_i[3]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3467
; mbus_addr3_i[3]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3468
; mbus_addr0_i[3]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3469
; mbus_addr1_i[3]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3470
; mbus_addr2_i[4]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3471
; mbus_addr3_i[4]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3472
; mbus_addr0_i[4]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3473
; mbus_addr1_i[4]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3474
; mbus_addr2_i[5]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3475
; mbus_addr3_i[5]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3476
; mbus_addr0_i[5]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3477
; mbus_addr1_i[5]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3478
; mbus_addr2_i[6]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3479
; mbus_addr3_i[6]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3480
; mbus_addr0_i[6]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3481
; mbus_addr1_i[6]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3482
; mbus_addr2_i[7]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3483
; mbus_addr3_i[7]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3484
; mbus_addr0_i[7]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3485
; mbus_addr1_i[7]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3486
; mbus_addr2_i[8]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3487
; mbus_addr3_i[8]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3488
; mbus_addr0_i[8]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3489
; mbus_addr1_i[8]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3490
; mbus_addr2_i[9]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3491
; mbus_addr3_i[9]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3492
; mbus_addr0_i[9]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3493
; mbus_addr1_i[9]    ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3494
; mbus_addr2_i[10]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3495
; mbus_addr3_i[10]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3496
; mbus_addr0_i[10]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3497
; mbus_addr1_i[10]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3498
; mbus_addr2_i[11]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3499
; mbus_addr3_i[11]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3500
; mbus_addr0_i[11]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3501
; mbus_addr1_i[11]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3502
; mbus_addr2_i[12]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3503
; mbus_addr3_i[12]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3504
; mbus_addr0_i[12]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3505
; mbus_addr1_i[12]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3506
; mbus_addr2_i[13]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3507
; mbus_addr3_i[13]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3508
; mbus_addr0_i[13]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3509
; mbus_addr1_i[13]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3510
; mbus_addr2_i[14]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3511
; mbus_addr3_i[14]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3512
; mbus_addr0_i[14]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3513
; mbus_addr1_i[14]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3514
; mbus_addr2_i[15]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3515
; mbus_addr3_i[15]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3516
; mbus_addr0_i[15]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3517
; mbus_addr1_i[15]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3518
; mbus_addr2_i[16]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3519
; mbus_addr3_i[16]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3520
; mbus_addr0_i[16]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3521
; mbus_addr1_i[16]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3522
; mbus_addr2_i[17]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3523
; mbus_addr3_i[17]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3524
; mbus_addr0_i[17]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3525
; mbus_addr1_i[17]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3526
; mbus_addr2_i[18]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3527
; mbus_addr3_i[18]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3528
; mbus_addr0_i[18]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3529
; mbus_addr1_i[18]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3530
; mbus_addr2_i[19]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3531
; mbus_addr3_i[19]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3532
; mbus_addr0_i[19]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3533
; mbus_addr1_i[19]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3534
; mbus_addr2_i[20]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3535
; mbus_addr3_i[20]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3536
; mbus_addr0_i[20]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3537
; mbus_addr1_i[20]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3538
; mbus_addr2_i[21]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3539
; mbus_addr3_i[21]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3540
; mbus_addr0_i[21]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3541
; mbus_addr1_i[21]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3542
; mbus_addr2_i[22]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3543
; mbus_addr3_i[22]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3544
; mbus_addr0_i[22]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3545
; mbus_addr1_i[22]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3546
; mbus_addr2_i[23]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3547
; mbus_addr3_i[23]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3548
; mbus_addr0_i[23]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3549
; mbus_addr1_i[23]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3550
; mbus_addr2_i[24]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3551
; mbus_addr3_i[24]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3552
; mbus_addr0_i[24]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3553
; mbus_addr1_i[24]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3554
; mbus_addr2_i[25]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3555
; mbus_addr3_i[25]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3556
; mbus_addr0_i[25]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3557
; mbus_addr1_i[25]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3558
; mbus_addr2_i[26]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3559
; mbus_addr3_i[26]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3560
; mbus_addr0_i[26]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3561
; mbus_addr1_i[26]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3562
; mbus_addr2_i[27]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3563
; mbus_addr3_i[27]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3564
; mbus_addr0_i[27]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3565
; mbus_addr1_i[27]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3566
; mbus_addr2_i[28]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3567
; mbus_addr3_i[28]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3568
; mbus_addr0_i[28]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3569
; mbus_addr1_i[28]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3570
; mbus_addr2_i[29]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3571
; mbus_addr3_i[29]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3572
; mbus_addr0_i[29]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3573
; mbus_addr1_i[29]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3574
; mbus_addr2_i[30]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3575
; mbus_addr3_i[30]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3576
; mbus_addr0_i[30]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3577
; mbus_addr1_i[30]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3578
; mbus_addr2_i[31]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3579
; mbus_addr3_i[31]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3580
; mbus_addr0_i[31]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3581
; mbus_addr1_i[31]   ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ; Pass      ; Pass      ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Pass         ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass      ; Inapplicable ; Inapplicable ;
3582
+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+
3583
 
3584
 
3585
+-----------------------------------------------------------------------------------------------+
3586
; Fitter Device Options                                                                         ;
3587
+------------------------------------------------------------------+----------------------------+
3588
; Option                                                           ; Setting                    ;
3589
+------------------------------------------------------------------+----------------------------+
3590
; Enable user-supplied start-up clock (CLKUSR)                     ; Off                        ;
3591
; Enable device-wide reset (DEV_CLRn)                              ; Off                        ;
3592
; Enable device-wide output enable (DEV_OE)                        ; Off                        ;
3593
; Enable INIT_DONE output                                          ; Off                        ;
3594
; Configuration scheme                                             ; Active Serial              ;
3595
; Error detection CRC                                              ; Off                        ;
3596
; Enable input tri-state on active configuration pins in user mode ; Off                        ;
3597
; Active Serial clock source                                       ; 40 MHz Internal Oscillator ;
3598
; Configuration Voltage Level                                      ; Auto                       ;
3599
; Force Configuration Voltage Level                                ; Off                        ;
3600
; nCEO                                                             ; As output driving ground   ;
3601
; Data[0]                                                          ; As input tri-stated        ;
3602
; Data[1]/ASDO                                                     ; As input tri-stated        ;
3603
; Data[7..2]                                                       ; Unreserved                 ;
3604
; FLASH_nCE/nCSO                                                   ; As input tri-stated        ;
3605
; DCLK                                                             ; As output driving ground   ;
3606
; Base pin-out file on sameframe device                            ; Off                        ;
3607
+------------------------------------------------------------------+----------------------------+
3608
 
3609
 
3610
+------------------------------------+
3611
; Operating Settings and Conditions  ;
3612
+---------------------------+--------+
3613
; Setting                   ; Value  ;
3614
+---------------------------+--------+
3615
; Nominal Core Voltage      ; 1.20 V ;
3616
; Low Junction Temperature  ; 0 °C   ;
3617
; High Junction Temperature ; 85 °C  ;
3618
+---------------------------+--------+
3619
 
3620
 
3621
+------------------------------------------------------------+
3622
; Estimated Delay Added for Hold Timing Summary              ;
3623
+-----------------+----------------------+-------------------+
3624
; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
3625
+-----------------+----------------------+-------------------+
3626
; I/O             ; clk                  ; 350.9             ;
3627
+-----------------+----------------------+-------------------+
3628
Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off.
3629
This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer.
3630
 
3631
 
3632
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+
3633
; Estimated Delay Added for Hold Timing Details                                                                                                                   ;
3634
+------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
3635
; Source Register  ; Destination Register                                                                                                     ; Delay Added in ns ;
3636
+------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
3637
; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; 1.871             ;
3638
; mbus_addr1_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][26]                                          ; 1.691             ;
3639
; mbus_addr1_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][27]                                          ; 1.662             ;
3640
; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; 1.604             ;
3641
; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]~_Duplicate_1 ; 1.590             ;
3642
; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; 1.568             ;
3643
; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; 1.568             ;
3644
; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; 1.566             ;
3645
; mbus_addr1_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][26]                                          ; 1.565             ;
3646
; mbus_addr1_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[26]                                            ; 1.561             ;
3647
; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; 1.558             ;
3648
; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24]                                          ; 1.536             ;
3649
; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; 1.514             ;
3650
; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; 1.500             ;
3651
; mbus_addr1_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][27]                                          ; 1.427             ;
3652
; mbus_addr1_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[27]                                            ; 1.423             ;
3653
; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; 1.418             ;
3654
; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; 1.417             ;
3655
; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; 1.394             ;
3656
; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; 1.390             ;
3657
; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; 1.388             ;
3658
; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; 1.369             ;
3659
; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; 1.321             ;
3660
; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; 1.317             ;
3661
; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; 1.315             ;
3662
; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; 1.312             ;
3663
; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; 1.306             ;
3664
; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; 1.302             ;
3665
; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; 1.300             ;
3666
; mbus_addr2_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][28]                                          ; 1.283             ;
3667
; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; 1.280             ;
3668
; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; 1.275             ;
3669
; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; 1.274             ;
3670
; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; 1.260             ;
3671
; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; 1.259             ;
3672
; mbus_cmd0_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; 1.259             ;
3673
; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; 1.236             ;
3674
; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; 1.236             ;
3675
; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; 1.231             ;
3676
; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; 1.223             ;
3677
; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][14]                                          ; 1.211             ;
3678
; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; 1.211             ;
3679
; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; 1.210             ;
3680
; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; 1.209             ;
3681
; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; 1.208             ;
3682
; mbus_addr3_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][40]                                          ; 1.197             ;
3683
; mbus_addr3_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][35]                                          ; 1.184             ;
3684
; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; 1.178             ;
3685
; mbus_addr2_i[13] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][22]                                          ; 1.176             ;
3686
; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; 1.171             ;
3687
; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; 1.170             ;
3688
; mbus_addr0_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26]                                          ; 1.170             ;
3689
; mbus_addr3_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][34]                                          ; 1.166             ;
3690
; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; 1.164             ;
3691
; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; 1.161             ;
3692
; mbus_addr3_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[34]                                            ; 1.153             ;
3693
; mbus_addr0_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26]                                          ; 1.143             ;
3694
; mbus_addr0_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]                                            ; 1.139             ;
3695
; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; 1.130             ;
3696
; mbus_cmd0_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; 1.125             ;
3697
; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; 1.125             ;
3698
; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; 1.120             ;
3699
; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; 1.118             ;
3700
; mbus_addr0_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20]                                          ; 1.117             ;
3701
; mbus_addr0_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]                                            ; 1.112             ;
3702
; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                                            ; 1.110             ;
3703
; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; 1.107             ;
3704
; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][21]                                          ; 1.099             ;
3705
; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; 1.085             ;
3706
; mbus_addr1_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][34]                                          ; 1.084             ;
3707
; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; 1.082             ;
3708
; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][11]                                          ; 1.081             ;
3709
; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][9]                                           ; 1.067             ;
3710
; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][9]                                           ; 1.066             ;
3711
; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; 1.061             ;
3712
; mbus_addr1_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][24]                                          ; 1.057             ;
3713
; mbus_addr1_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][25]                                          ; 1.056             ;
3714
; mbus_addr2_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][39]                                          ; 1.055             ;
3715
; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][37]                                          ; 1.050             ;
3716
; mbus_addr2_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][28]                                          ; 1.049             ;
3717
; mbus_addr2_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][29]                                          ; 1.045             ;
3718
; mbus_addr0_i[13] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22]                                          ; 1.038             ;
3719
; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|data_o[21]                                            ; 1.038             ;
3720
; mbus_addr0_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20]                                          ; 1.036             ;
3721
; mbus_addr3_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][34]                                          ; 1.036             ;
3722
; mbus_addr0_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19]                                          ; 1.035             ;
3723
; mbus_addr3_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][24]                                          ; 1.033             ;
3724
; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][35]                                          ; 1.030             ;
3725
; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]~_Duplicate_1 ; 1.030             ;
3726
; mbus_addr2_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][38]                                          ; 1.029             ;
3727
; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][13]                                          ; 1.028             ;
3728
; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; 1.028             ;
3729
; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[37]                                            ; 1.025             ;
3730
; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[35]                                            ; 1.025             ;
3731
; mbus_addr1_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][25]                                          ; 1.024             ;
3732
; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; 1.024             ;
3733
; mbus_addr1_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][28]                                          ; 1.024             ;
3734
; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[13]                                            ; 1.023             ;
3735
; mbus_addr1_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][11]                                          ; 1.021             ;
3736
; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; 1.021             ;
3737
+------------------+--------------------------------------------------------------------------------------------------------------------------+-------------------+
3738
 
3739
 
3740
+-----------------+
3741
; Fitter Messages ;
3742
+-----------------+
3743
Info: *******************************************************************
3744
Info: Running Quartus II 32-bit Fitter
3745
    Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
3746
    Info: Processing started: Tue Dec 25 13:54:15 2012
3747
Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off mesi_isc -c mesi_isc
3748
Warning (20028): Parallel compilation is not licensed and has been disabled
3749
Info (119004): Automatically selected device EP4CGX30CF23C6 for design mesi_isc
3750
Info (119005): Fitting design with smaller device may be possible, but smaller device must be specified
3751
Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'.
3752
Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'.
3753
Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
3754
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
3755
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
3756
    Info (176445): Device EP4CGX75CF23C6 is compatible
3757
    Info (176445): Device EP4CGX50CF23C6 is compatible
3758
Info (169124): Fitter converted 5 user pins into dedicated programming pins
3759
    Info (169125): Pin ~ALTERA_NCEO~ is reserved at location AB3
3760
    Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K4
3761
    Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1
3762
    Info (169125): Pin ~ALTERA_NCSO~ is reserved at location J4
3763
    Info (169125): Pin ~ALTERA_DCLK~ is reserved at location D3
3764
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
3765
Critical Warning (169085): No exact pin location assignment(s) for 194 pins of 194 total pins
3766
    Info (169086): Pin cbus_addr_o[0] not assigned to an exact location on the device
3767
    Info (169086): Pin cbus_addr_o[1] not assigned to an exact location on the device
3768
    Info (169086): Pin cbus_addr_o[2] not assigned to an exact location on the device
3769
    Info (169086): Pin cbus_addr_o[3] not assigned to an exact location on the device
3770
    Info (169086): Pin cbus_addr_o[4] not assigned to an exact location on the device
3771
    Info (169086): Pin cbus_addr_o[5] not assigned to an exact location on the device
3772
    Info (169086): Pin cbus_addr_o[6] not assigned to an exact location on the device
3773
    Info (169086): Pin cbus_addr_o[7] not assigned to an exact location on the device
3774
    Info (169086): Pin cbus_addr_o[8] not assigned to an exact location on the device
3775
    Info (169086): Pin cbus_addr_o[9] not assigned to an exact location on the device
3776
    Info (169086): Pin cbus_addr_o[10] not assigned to an exact location on the device
3777
    Info (169086): Pin cbus_addr_o[11] not assigned to an exact location on the device
3778
    Info (169086): Pin cbus_addr_o[12] not assigned to an exact location on the device
3779
    Info (169086): Pin cbus_addr_o[13] not assigned to an exact location on the device
3780
    Info (169086): Pin cbus_addr_o[14] not assigned to an exact location on the device
3781
    Info (169086): Pin cbus_addr_o[15] not assigned to an exact location on the device
3782
    Info (169086): Pin cbus_addr_o[16] not assigned to an exact location on the device
3783
    Info (169086): Pin cbus_addr_o[17] not assigned to an exact location on the device
3784
    Info (169086): Pin cbus_addr_o[18] not assigned to an exact location on the device
3785
    Info (169086): Pin cbus_addr_o[19] not assigned to an exact location on the device
3786
    Info (169086): Pin cbus_addr_o[20] not assigned to an exact location on the device
3787
    Info (169086): Pin cbus_addr_o[21] not assigned to an exact location on the device
3788
    Info (169086): Pin cbus_addr_o[22] not assigned to an exact location on the device
3789
    Info (169086): Pin cbus_addr_o[23] not assigned to an exact location on the device
3790
    Info (169086): Pin cbus_addr_o[24] not assigned to an exact location on the device
3791
    Info (169086): Pin cbus_addr_o[25] not assigned to an exact location on the device
3792
    Info (169086): Pin cbus_addr_o[26] not assigned to an exact location on the device
3793
    Info (169086): Pin cbus_addr_o[27] not assigned to an exact location on the device
3794
    Info (169086): Pin cbus_addr_o[28] not assigned to an exact location on the device
3795
    Info (169086): Pin cbus_addr_o[29] not assigned to an exact location on the device
3796
    Info (169086): Pin cbus_addr_o[30] not assigned to an exact location on the device
3797
    Info (169086): Pin cbus_addr_o[31] not assigned to an exact location on the device
3798
    Info (169086): Pin cbus_cmd3_o[0] not assigned to an exact location on the device
3799
    Info (169086): Pin cbus_cmd3_o[1] not assigned to an exact location on the device
3800
    Info (169086): Pin cbus_cmd3_o[2] not assigned to an exact location on the device
3801
    Info (169086): Pin cbus_cmd2_o[0] not assigned to an exact location on the device
3802
    Info (169086): Pin cbus_cmd2_o[1] not assigned to an exact location on the device
3803
    Info (169086): Pin cbus_cmd2_o[2] not assigned to an exact location on the device
3804
    Info (169086): Pin cbus_cmd1_o[0] not assigned to an exact location on the device
3805
    Info (169086): Pin cbus_cmd1_o[1] not assigned to an exact location on the device
3806
    Info (169086): Pin cbus_cmd1_o[2] not assigned to an exact location on the device
3807
    Info (169086): Pin cbus_cmd0_o[0] not assigned to an exact location on the device
3808
    Info (169086): Pin cbus_cmd0_o[1] not assigned to an exact location on the device
3809
    Info (169086): Pin cbus_cmd0_o[2] not assigned to an exact location on the device
3810
    Info (169086): Pin mbus_ack3_o not assigned to an exact location on the device
3811
    Info (169086): Pin mbus_ack2_o not assigned to an exact location on the device
3812
    Info (169086): Pin mbus_ack1_o not assigned to an exact location on the device
3813
    Info (169086): Pin mbus_ack0_o not assigned to an exact location on the device
3814
    Info (169086): Pin clk not assigned to an exact location on the device
3815
    Info (169086): Pin rst not assigned to an exact location on the device
3816
    Info (169086): Pin cbus_ack3_i not assigned to an exact location on the device
3817
    Info (169086): Pin cbus_ack2_i not assigned to an exact location on the device
3818
    Info (169086): Pin cbus_ack1_i not assigned to an exact location on the device
3819
    Info (169086): Pin cbus_ack0_i not assigned to an exact location on the device
3820
    Info (169086): Pin mbus_cmd3_i[0] not assigned to an exact location on the device
3821
    Info (169086): Pin mbus_cmd3_i[2] not assigned to an exact location on the device
3822
    Info (169086): Pin mbus_cmd3_i[1] not assigned to an exact location on the device
3823
    Info (169086): Pin mbus_cmd2_i[0] not assigned to an exact location on the device
3824
    Info (169086): Pin mbus_cmd2_i[1] not assigned to an exact location on the device
3825
    Info (169086): Pin mbus_cmd2_i[2] not assigned to an exact location on the device
3826
    Info (169086): Pin mbus_cmd1_i[2] not assigned to an exact location on the device
3827
    Info (169086): Pin mbus_cmd1_i[0] not assigned to an exact location on the device
3828
    Info (169086): Pin mbus_cmd1_i[1] not assigned to an exact location on the device
3829
    Info (169086): Pin mbus_cmd0_i[0] not assigned to an exact location on the device
3830
    Info (169086): Pin mbus_cmd0_i[1] not assigned to an exact location on the device
3831
    Info (169086): Pin mbus_cmd0_i[2] not assigned to an exact location on the device
3832
    Info (169086): Pin mbus_addr2_i[0] not assigned to an exact location on the device
3833
    Info (169086): Pin mbus_addr3_i[0] not assigned to an exact location on the device
3834
    Info (169086): Pin mbus_addr0_i[0] not assigned to an exact location on the device
3835
    Info (169086): Pin mbus_addr1_i[0] not assigned to an exact location on the device
3836
    Info (169086): Pin mbus_addr2_i[1] not assigned to an exact location on the device
3837
    Info (169086): Pin mbus_addr3_i[1] not assigned to an exact location on the device
3838
    Info (169086): Pin mbus_addr0_i[1] not assigned to an exact location on the device
3839
    Info (169086): Pin mbus_addr1_i[1] not assigned to an exact location on the device
3840
    Info (169086): Pin mbus_addr2_i[2] not assigned to an exact location on the device
3841
    Info (169086): Pin mbus_addr3_i[2] not assigned to an exact location on the device
3842
    Info (169086): Pin mbus_addr0_i[2] not assigned to an exact location on the device
3843
    Info (169086): Pin mbus_addr1_i[2] not assigned to an exact location on the device
3844
    Info (169086): Pin mbus_addr2_i[3] not assigned to an exact location on the device
3845
    Info (169086): Pin mbus_addr3_i[3] not assigned to an exact location on the device
3846
    Info (169086): Pin mbus_addr0_i[3] not assigned to an exact location on the device
3847
    Info (169086): Pin mbus_addr1_i[3] not assigned to an exact location on the device
3848
    Info (169086): Pin mbus_addr2_i[4] not assigned to an exact location on the device
3849
    Info (169086): Pin mbus_addr3_i[4] not assigned to an exact location on the device
3850
    Info (169086): Pin mbus_addr0_i[4] not assigned to an exact location on the device
3851
    Info (169086): Pin mbus_addr1_i[4] not assigned to an exact location on the device
3852
    Info (169086): Pin mbus_addr2_i[5] not assigned to an exact location on the device
3853
    Info (169086): Pin mbus_addr3_i[5] not assigned to an exact location on the device
3854
    Info (169086): Pin mbus_addr0_i[5] not assigned to an exact location on the device
3855
    Info (169086): Pin mbus_addr1_i[5] not assigned to an exact location on the device
3856
    Info (169086): Pin mbus_addr2_i[6] not assigned to an exact location on the device
3857
    Info (169086): Pin mbus_addr3_i[6] not assigned to an exact location on the device
3858
    Info (169086): Pin mbus_addr0_i[6] not assigned to an exact location on the device
3859
    Info (169086): Pin mbus_addr1_i[6] not assigned to an exact location on the device
3860
    Info (169086): Pin mbus_addr2_i[7] not assigned to an exact location on the device
3861
    Info (169086): Pin mbus_addr3_i[7] not assigned to an exact location on the device
3862
    Info (169086): Pin mbus_addr0_i[7] not assigned to an exact location on the device
3863
    Info (169086): Pin mbus_addr1_i[7] not assigned to an exact location on the device
3864
    Info (169086): Pin mbus_addr2_i[8] not assigned to an exact location on the device
3865
    Info (169086): Pin mbus_addr3_i[8] not assigned to an exact location on the device
3866
    Info (169086): Pin mbus_addr0_i[8] not assigned to an exact location on the device
3867
    Info (169086): Pin mbus_addr1_i[8] not assigned to an exact location on the device
3868
    Info (169086): Pin mbus_addr2_i[9] not assigned to an exact location on the device
3869
    Info (169086): Pin mbus_addr3_i[9] not assigned to an exact location on the device
3870
    Info (169086): Pin mbus_addr0_i[9] not assigned to an exact location on the device
3871
    Info (169086): Pin mbus_addr1_i[9] not assigned to an exact location on the device
3872
    Info (169086): Pin mbus_addr2_i[10] not assigned to an exact location on the device
3873
    Info (169086): Pin mbus_addr3_i[10] not assigned to an exact location on the device
3874
    Info (169086): Pin mbus_addr0_i[10] not assigned to an exact location on the device
3875
    Info (169086): Pin mbus_addr1_i[10] not assigned to an exact location on the device
3876
    Info (169086): Pin mbus_addr2_i[11] not assigned to an exact location on the device
3877
    Info (169086): Pin mbus_addr3_i[11] not assigned to an exact location on the device
3878
    Info (169086): Pin mbus_addr0_i[11] not assigned to an exact location on the device
3879
    Info (169086): Pin mbus_addr1_i[11] not assigned to an exact location on the device
3880
    Info (169086): Pin mbus_addr2_i[12] not assigned to an exact location on the device
3881
    Info (169086): Pin mbus_addr3_i[12] not assigned to an exact location on the device
3882
    Info (169086): Pin mbus_addr0_i[12] not assigned to an exact location on the device
3883
    Info (169086): Pin mbus_addr1_i[12] not assigned to an exact location on the device
3884
    Info (169086): Pin mbus_addr2_i[13] not assigned to an exact location on the device
3885
    Info (169086): Pin mbus_addr3_i[13] not assigned to an exact location on the device
3886
    Info (169086): Pin mbus_addr0_i[13] not assigned to an exact location on the device
3887
    Info (169086): Pin mbus_addr1_i[13] not assigned to an exact location on the device
3888
    Info (169086): Pin mbus_addr2_i[14] not assigned to an exact location on the device
3889
    Info (169086): Pin mbus_addr3_i[14] not assigned to an exact location on the device
3890
    Info (169086): Pin mbus_addr0_i[14] not assigned to an exact location on the device
3891
    Info (169086): Pin mbus_addr1_i[14] not assigned to an exact location on the device
3892
    Info (169086): Pin mbus_addr2_i[15] not assigned to an exact location on the device
3893
    Info (169086): Pin mbus_addr3_i[15] not assigned to an exact location on the device
3894
    Info (169086): Pin mbus_addr0_i[15] not assigned to an exact location on the device
3895
    Info (169086): Pin mbus_addr1_i[15] not assigned to an exact location on the device
3896
    Info (169086): Pin mbus_addr2_i[16] not assigned to an exact location on the device
3897
    Info (169086): Pin mbus_addr3_i[16] not assigned to an exact location on the device
3898
    Info (169086): Pin mbus_addr0_i[16] not assigned to an exact location on the device
3899
    Info (169086): Pin mbus_addr1_i[16] not assigned to an exact location on the device
3900
    Info (169086): Pin mbus_addr2_i[17] not assigned to an exact location on the device
3901
    Info (169086): Pin mbus_addr3_i[17] not assigned to an exact location on the device
3902
    Info (169086): Pin mbus_addr0_i[17] not assigned to an exact location on the device
3903
    Info (169086): Pin mbus_addr1_i[17] not assigned to an exact location on the device
3904
    Info (169086): Pin mbus_addr2_i[18] not assigned to an exact location on the device
3905
    Info (169086): Pin mbus_addr3_i[18] not assigned to an exact location on the device
3906
    Info (169086): Pin mbus_addr0_i[18] not assigned to an exact location on the device
3907
    Info (169086): Pin mbus_addr1_i[18] not assigned to an exact location on the device
3908
    Info (169086): Pin mbus_addr2_i[19] not assigned to an exact location on the device
3909
    Info (169086): Pin mbus_addr3_i[19] not assigned to an exact location on the device
3910
    Info (169086): Pin mbus_addr0_i[19] not assigned to an exact location on the device
3911
    Info (169086): Pin mbus_addr1_i[19] not assigned to an exact location on the device
3912
    Info (169086): Pin mbus_addr2_i[20] not assigned to an exact location on the device
3913
    Info (169086): Pin mbus_addr3_i[20] not assigned to an exact location on the device
3914
    Info (169086): Pin mbus_addr0_i[20] not assigned to an exact location on the device
3915
    Info (169086): Pin mbus_addr1_i[20] not assigned to an exact location on the device
3916
    Info (169086): Pin mbus_addr2_i[21] not assigned to an exact location on the device
3917
    Info (169086): Pin mbus_addr3_i[21] not assigned to an exact location on the device
3918
    Info (169086): Pin mbus_addr0_i[21] not assigned to an exact location on the device
3919
    Info (169086): Pin mbus_addr1_i[21] not assigned to an exact location on the device
3920
    Info (169086): Pin mbus_addr2_i[22] not assigned to an exact location on the device
3921
    Info (169086): Pin mbus_addr3_i[22] not assigned to an exact location on the device
3922
    Info (169086): Pin mbus_addr0_i[22] not assigned to an exact location on the device
3923
    Info (169086): Pin mbus_addr1_i[22] not assigned to an exact location on the device
3924
    Info (169086): Pin mbus_addr2_i[23] not assigned to an exact location on the device
3925
    Info (169086): Pin mbus_addr3_i[23] not assigned to an exact location on the device
3926
    Info (169086): Pin mbus_addr0_i[23] not assigned to an exact location on the device
3927
    Info (169086): Pin mbus_addr1_i[23] not assigned to an exact location on the device
3928
    Info (169086): Pin mbus_addr2_i[24] not assigned to an exact location on the device
3929
    Info (169086): Pin mbus_addr3_i[24] not assigned to an exact location on the device
3930
    Info (169086): Pin mbus_addr0_i[24] not assigned to an exact location on the device
3931
    Info (169086): Pin mbus_addr1_i[24] not assigned to an exact location on the device
3932
    Info (169086): Pin mbus_addr2_i[25] not assigned to an exact location on the device
3933
    Info (169086): Pin mbus_addr3_i[25] not assigned to an exact location on the device
3934
    Info (169086): Pin mbus_addr0_i[25] not assigned to an exact location on the device
3935
    Info (169086): Pin mbus_addr1_i[25] not assigned to an exact location on the device
3936
    Info (169086): Pin mbus_addr2_i[26] not assigned to an exact location on the device
3937
    Info (169086): Pin mbus_addr3_i[26] not assigned to an exact location on the device
3938
    Info (169086): Pin mbus_addr0_i[26] not assigned to an exact location on the device
3939
    Info (169086): Pin mbus_addr1_i[26] not assigned to an exact location on the device
3940
    Info (169086): Pin mbus_addr2_i[27] not assigned to an exact location on the device
3941
    Info (169086): Pin mbus_addr3_i[27] not assigned to an exact location on the device
3942
    Info (169086): Pin mbus_addr0_i[27] not assigned to an exact location on the device
3943
    Info (169086): Pin mbus_addr1_i[27] not assigned to an exact location on the device
3944
    Info (169086): Pin mbus_addr2_i[28] not assigned to an exact location on the device
3945
    Info (169086): Pin mbus_addr3_i[28] not assigned to an exact location on the device
3946
    Info (169086): Pin mbus_addr0_i[28] not assigned to an exact location on the device
3947
    Info (169086): Pin mbus_addr1_i[28] not assigned to an exact location on the device
3948
    Info (169086): Pin mbus_addr2_i[29] not assigned to an exact location on the device
3949
    Info (169086): Pin mbus_addr3_i[29] not assigned to an exact location on the device
3950
    Info (169086): Pin mbus_addr0_i[29] not assigned to an exact location on the device
3951
    Info (169086): Pin mbus_addr1_i[29] not assigned to an exact location on the device
3952
    Info (169086): Pin mbus_addr2_i[30] not assigned to an exact location on the device
3953
    Info (169086): Pin mbus_addr3_i[30] not assigned to an exact location on the device
3954
    Info (169086): Pin mbus_addr0_i[30] not assigned to an exact location on the device
3955
    Info (169086): Pin mbus_addr1_i[30] not assigned to an exact location on the device
3956
    Info (169086): Pin mbus_addr2_i[31] not assigned to an exact location on the device
3957
    Info (169086): Pin mbus_addr3_i[31] not assigned to an exact location on the device
3958
    Info (169086): Pin mbus_addr0_i[31] not assigned to an exact location on the device
3959
    Info (169086): Pin mbus_addr1_i[31] not assigned to an exact location on the device
3960
Info (332104): Reading SDC File: 'mesi_isc.sdc'
3961
Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
3962
Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
3963
Info (332111): Found 1 clocks
3964
    Info (332111):   Period   Clock Name
3965
    Info (332111): ======== ============
3966
    Info (332111):    1.000          clk
3967
Info (176353): Automatically promoted node clk~input (placed in PIN N11 (CLKIO13, DIFFCLK_7n, REFCLK2n))
3968
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G29
3969
Info (176353): Automatically promoted node rst~input (placed in PIN M11 (CLKIO12, DIFFCLK_7p, REFCLK2p))
3970
    Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G28
3971
Info (176233): Starting register packing
3972
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
3973
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
3974
Extra Info (176236): Started Fast Input/Output/OE register processing
3975
Extra Info (176237): Finished Fast Input/Output/OE register processing
3976
Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
3977
Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
3978
Info (176235): Finished register packing
3979
    Extra Info (176218): Packed 36 registers into blocks of type I/O Output Buffer
3980
    Extra Info (176220): Created 4 register duplicates
3981
Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
3982
    Info (176211): Number of I/O pins in group: 192 (unused VREF, 2.5V VCCIO, 144 input, 48 output, 0 bidirectional)
3983
        Info (176212): I/O standards used: 2.5 V.
3984
Info (176215): I/O bank details before I/O pin placement
3985
    Info (176214): Statistics of I/O banks
3986
        Info (176213): I/O bank number QL1 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  0 pins available
3987
        Info (176213): I/O bank number QL0 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  0 pins available
3988
        Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  45 pins available
3989
        Info (176213): I/O bank number 3B does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  2 pins available
3990
        Info (176213): I/O bank number 3A does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used --  0 pins available
3991
        Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  45 pins available
3992
        Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  49 pins available
3993
        Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  49 pins available
3994
        Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  46 pins available
3995
        Info (176213): I/O bank number 8A does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  2 pins available
3996
        Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  44 pins available
3997
        Info (176213): I/O bank number 8B does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  0 pins available
3998
        Info (176213): I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used --  0 pins available
3999
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:04
4000
Info (170189): Fitter placement preparation operations beginning
4001
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
4002
Info (170191): Fitter placement operations beginning
4003
Info (170137): Fitter placement was successful
4004
Info (170192): Fitter placement operations ending: elapsed time is 00:00:11
4005
Info (170193): Fitter routing operations beginning
4006
Info (170195): Router estimated average interconnect usage is 1% of the available device resources
4007
    Info (170196): Router estimated peak interconnect usage is 9% of the available device resources in the region that extends from location X58_Y22 to location X69_Y33
4008
Info (170194): Fitter routing operations ending: elapsed time is 00:00:05
4009
Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
4010
    Info (170201): Optimizations that may affect the design's routability were skipped
4011
Info (334003): Started post-fitting delay annotation
4012
Info (334004): Delay annotation completed successfully
4013
Info (334003): Started post-fitting delay annotation
4014
Info (334004): Delay annotation completed successfully
4015
Warning (169177): 2 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV GX Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
4016
    Info (169178): Pin clk uses I/O standard 2.5 V at N11
4017
    Info (169178): Pin rst uses I/O standard 2.5 V at M11
4018
Info: Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings
4019
    Info: Peak virtual memory: 574 megabytes
4020
    Info: Processing ended: Tue Dec 25 13:54:52 2012
4021
    Info: Elapsed time: 00:00:37
4022
    Info: Total CPU time (on all processors): 00:00:37
4023
 
4024
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.