OpenCores
URL https://opencores.org/ocsvn/mesi_isc/mesi_isc/trunk

Subversion Repositories mesi_isc

[/] [mesi_isc/] [trunk/] [syn/] [mesi_isc.sdc] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 yaira
## Generated SDC file "mesi_isc.out.sdc"
2
 
3
## Copyright (C) 1991-2012 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition"
21
 
22
## DATE    "Tue Nov  6 14:51:56 2012"
23
 
24
##
25
## DEVICE  "EP4CGX30CF23C6"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
create_clock -name {clk} -period 1.000 -waveform { 0.000 0.500 } [get_ports {clk}]
41
 
42
 
43
#**************************************************************
44
# Create Generated Clock
45
#**************************************************************
46
 
47
#**************************************************************
48
# Set Clock Latency
49
#**************************************************************
50
 
51
#**************************************************************
52
# Set Clock Uncertainty
53
#**************************************************************
54
set_clock_uncertainty -rise_from [get_clocks {clk}] -rise_to [get_clocks {clk}]  0.020
55
set_clock_uncertainty -rise_from [get_clocks {clk}] -fall_to [get_clocks {clk}]  0.020
56
set_clock_uncertainty -fall_from [get_clocks {clk}] -rise_to [get_clocks {clk}]  0.020
57
set_clock_uncertainty -fall_from [get_clocks {clk}] -fall_to [get_clocks {clk}]  0.020
58
 
59
#**************************************************************
60
# Set Input Delay
61
#**************************************************************
62
set_input_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {cbus_ack*}]
63
set_input_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {mbus_addr*}]
64
set_input_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {mbus_cmd*}]
65
 
66
 
67
#**************************************************************
68
# Set Output Delay
69
#**************************************************************
70
set_output_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {cbus_addr_o*}]
71
set_output_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {cbus_cmd*}]
72
set_output_delay -add_delay  -clock [get_clocks {clk}]  0.100 [get_ports {mbus_ack*}]
73
 
74
 
75
#**************************************************************
76
# Set Clock Groups
77
#**************************************************************
78
 
79
 
80
#**************************************************************
81
# Set False Path
82
#**************************************************************
83
 
84
 
85
#**************************************************************
86
# Set Multicycle Path
87
#**************************************************************
88
 
89
 
90
#**************************************************************
91
# Set Maximum Delay
92
#**************************************************************
93
 
94
 
95
#**************************************************************
96
# Set Minimum Delay
97
#**************************************************************
98
 
99
 
100
#**************************************************************
101
# Set Input Transition
102
#**************************************************************
103
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.