OpenCores
URL https://opencores.org/ocsvn/mesi_isc/mesi_isc/trunk

Subversion Repositories mesi_isc

[/] [mesi_isc/] [trunk/] [syn/] [mesi_isc.sta.rpt] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 yaira
TimeQuest Timing Analyzer report for mesi_isc
2
Tue Dec 25 14:04:07 2012
3
Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. TimeQuest Timing Analyzer Summary
11
  3. Parallel Compilation
12
  4. SDC File List
13
  5. Clocks
14
  6. Slow 1200mV 85C Model Fmax Summary
15
  7. Slow 1200mV 85C Model Setup Summary
16
  8. Slow 1200mV 85C Model Hold Summary
17
  9. Slow 1200mV 85C Model Recovery Summary
18
 10. Slow 1200mV 85C Model Removal Summary
19
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
20
 12. Slow 1200mV 85C Model Setup: 'clk'
21
 13. Slow 1200mV 85C Model Hold: 'clk'
22
 14. Slow 1200mV 85C Model Minimum Pulse Width: 'clk'
23
 15. Setup Times
24
 16. Hold Times
25
 17. Clock to Output Times
26
 18. Minimum Clock to Output Times
27
 19. Slow 1200mV 85C Model Metastability Report
28
 20. Slow 1200mV 0C Model Fmax Summary
29
 21. Slow 1200mV 0C Model Setup Summary
30
 22. Slow 1200mV 0C Model Hold Summary
31
 23. Slow 1200mV 0C Model Recovery Summary
32
 24. Slow 1200mV 0C Model Removal Summary
33
 25. Slow 1200mV 0C Model Minimum Pulse Width Summary
34
 26. Slow 1200mV 0C Model Setup: 'clk'
35
 27. Slow 1200mV 0C Model Hold: 'clk'
36
 28. Slow 1200mV 0C Model Minimum Pulse Width: 'clk'
37
 29. Setup Times
38
 30. Hold Times
39
 31. Clock to Output Times
40
 32. Minimum Clock to Output Times
41
 33. Slow 1200mV 0C Model Metastability Report
42
 34. Fast 1200mV 0C Model Setup Summary
43
 35. Fast 1200mV 0C Model Hold Summary
44
 36. Fast 1200mV 0C Model Recovery Summary
45
 37. Fast 1200mV 0C Model Removal Summary
46
 38. Fast 1200mV 0C Model Minimum Pulse Width Summary
47
 39. Fast 1200mV 0C Model Setup: 'clk'
48
 40. Fast 1200mV 0C Model Hold: 'clk'
49
 41. Fast 1200mV 0C Model Minimum Pulse Width: 'clk'
50
 42. Setup Times
51
 43. Hold Times
52
 44. Clock to Output Times
53
 45. Minimum Clock to Output Times
54
 46. Fast 1200mV 0C Model Metastability Report
55
 47. Multicorner Timing Analysis Summary
56
 48. Setup Times
57
 49. Hold Times
58
 50. Clock to Output Times
59
 51. Minimum Clock to Output Times
60
 52. Board Trace Model Assignments
61
 53. Input Transition Times
62
 54. Signal Integrity Metrics (Slow 1200mv 0c Model)
63
 55. Signal Integrity Metrics (Slow 1200mv 85c Model)
64
 56. Signal Integrity Metrics (Fast 1200mv 0c Model)
65
 57. Setup Transfers
66
 58. Hold Transfers
67
 59. Report TCCS
68
 60. Report RSKM
69
 61. Unconstrained Paths
70
 62. TimeQuest Timing Analyzer Messages
71
 
72
 
73
 
74
----------------
75
; Legal Notice ;
76
----------------
77
Copyright (C) 1991-2012 Altera Corporation
78
Your use of Altera Corporation's design tools, logic functions
79
and other software and tools, and its AMPP partner logic
80
functions, and any output files from any of the foregoing
81
(including device programming or simulation files), and any
82
associated documentation or information are expressly subject
83
to the terms and conditions of the Altera Program License
84
Subscription Agreement, Altera MegaCore Function License
85
Agreement, or other applicable license agreement, including,
86
without limitation, that your use is for the sole purpose of
87
programming logic devices manufactured by Altera and sold by
88
Altera or its authorized distributors.  Please refer to the
89
applicable agreement for further details.
90
 
91
 
92
 
93
+--------------------------------------------------------------------------------------+
94
; TimeQuest Timing Analyzer Summary                                                    ;
95
+--------------------+-----------------------------------------------------------------+
96
; Quartus II Version ; Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition ;
97
; Revision Name      ; mesi_isc                                                        ;
98
; Device Family      ; Cyclone IV GX                                                   ;
99
; Device Name        ; EP4CGX30CF23C6                                                  ;
100
; Timing Models      ; Final                                                           ;
101
; Delay Model        ; Combined                                                        ;
102
; Rise/Fall Delays   ; Enabled                                                         ;
103
+--------------------+-----------------------------------------------------------------+
104
 
105
 
106
Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
107
+-------------------------------------+
108
; Parallel Compilation                ;
109
+----------------------------+--------+
110
; Processors                 ; Number ;
111
+----------------------------+--------+
112
; Number detected on machine ; 4      ;
113
; Maximum allowed            ; 1      ;
114
+----------------------------+--------+
115
 
116
 
117
+---------------------------------------------------+
118
; SDC File List                                     ;
119
+---------------+--------+--------------------------+
120
; SDC File Path ; Status ; Read at                  ;
121
+---------------+--------+--------------------------+
122
; mesi_isc.sdc  ; OK     ; Tue Dec 25 14:04:05 2012 ;
123
+---------------+--------+--------------------------+
124
 
125
 
126
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
127
; Clocks                                                                                                                                                                          ;
128
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
129
; Clock Name ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
130
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
131
; clk        ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk } ;
132
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
133
 
134
 
135
+--------------------------------------------------+
136
; Slow 1200mV 85C Model Fmax Summary               ;
137
+------------+-----------------+------------+------+
138
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
139
+------------+-----------------+------------+------+
140
; 107.07 MHz ; 107.07 MHz      ; clk        ;      ;
141
+------------+-----------------+------------+------+
142
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
143
 
144
 
145
+-------------------------------------+
146
; Slow 1200mV 85C Model Setup Summary ;
147
+-------+--------+--------------------+
148
; Clock ; Slack  ; End Point TNS      ;
149
+-------+--------+--------------------+
150
; clk   ; -8.340 ; -2724.862          ;
151
+-------+--------+--------------------+
152
 
153
 
154
+------------------------------------+
155
; Slow 1200mV 85C Model Hold Summary ;
156
+-------+--------+-------------------+
157
; Clock ; Slack  ; End Point TNS     ;
158
+-------+--------+-------------------+
159
; clk   ; -0.278 ; -0.443            ;
160
+-------+--------+-------------------+
161
 
162
 
163
------------------------------------------
164
; Slow 1200mV 85C Model Recovery Summary ;
165
------------------------------------------
166
No paths to report.
167
 
168
 
169
-----------------------------------------
170
; Slow 1200mV 85C Model Removal Summary ;
171
-----------------------------------------
172
No paths to report.
173
 
174
 
175
+---------------------------------------------------+
176
; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
177
+-------+--------+----------------------------------+
178
; Clock ; Slack  ; End Point TNS                    ;
179
+-------+--------+----------------------------------+
180
; clk   ; -3.000 ; -643.000                         ;
181
+-------+--------+----------------------------------+
182
 
183
 
184
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
185
; Slow 1200mV 85C Model Setup: 'clk'                                                                                                                                                                                                                            ;
186
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
187
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
188
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
189
; -8.340 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.069      ;
190
; -8.340 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.069      ;
191
; -8.334 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.063      ;
192
; -8.334 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.063      ;
193
; -8.254 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.985      ;
194
; -8.239 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.968      ;
195
; -8.239 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.968      ;
196
; -8.230 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.959      ;
197
; -8.230 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.959      ;
198
; -8.225 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.954      ;
199
; -8.225 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.954      ;
200
; -8.219 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.950      ;
201
; -8.217 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.948      ;
202
; -8.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.944      ;
203
; -8.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.944      ;
204
; -8.212 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.942      ;
205
; -8.210 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.940      ;
206
; -8.189 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.918      ;
207
; -8.183 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.912      ;
208
; -8.165 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.895      ;
209
; -8.148 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.878      ;
210
; -8.135 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.865      ;
211
; -8.132 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.862      ;
212
; -8.097 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.827      ;
213
; -8.088 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.817      ;
214
; -8.079 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.808      ;
215
; -8.074 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.803      ;
216
; -8.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.793      ;
217
; -8.062 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.791      ;
218
; -8.058 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.789      ;
219
; -8.057 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.788      ;
220
; -8.056 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.785      ;
221
; -8.042 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.772      ;
222
; -8.037 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.768      ;
223
; -8.035 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.765      ;
224
; -8.033 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.763      ;
225
; -8.020 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.749      ;
226
; -8.020 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.749      ;
227
; -8.001 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.730      ;
228
; -8.001 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.730      ;
229
; -7.991 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.721      ;
230
; -7.968 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.698      ;
231
; -7.965 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.695      ;
232
; -7.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.693      ;
233
; -7.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.693      ;
234
; -7.961 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.690      ;
235
; -7.960 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.691      ;
236
; -7.952 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.681      ;
237
; -7.947 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.676      ;
238
; -7.943 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.672      ;
239
; -7.943 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.672      ;
240
; -7.937 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.666      ;
241
; -7.923 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.652      ;
242
; -7.923 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.652      ;
243
; -7.906 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.637      ;
244
; -7.904 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.634      ;
245
; -7.895 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.625      ;
246
; -7.893 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.622      ;
247
; -7.893 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.622      ;
248
; -7.871 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.601      ;
249
; -7.869 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.598      ;
250
; -7.864 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.594      ;
251
; -7.850 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.579      ;
252
; -7.844 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.575      ;
253
; -7.838 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.568      ;
254
; -7.835 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.565      ;
255
; -7.830 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.560      ;
256
; -7.813 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.542      ;
257
; -7.792 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.521      ;
258
; -7.784 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.514      ;
259
; -7.781 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.511      ;
260
; -7.772 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.501      ;
261
; -7.759 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.490      ;
262
; -7.742 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.471      ;
263
; -7.742 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.471      ;
264
; -7.733 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.464      ;
265
; -7.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.454      ;
266
; -7.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.452      ;
267
; -7.711 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.441      ;
268
; -7.705 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.435      ;
269
; -7.691 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.421      ;
270
; -7.686 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.415      ;
271
; -7.670 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.400      ;
272
; -7.665 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.394      ;
273
; -7.645 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.374      ;
274
; -7.639 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.369      ;
275
; -7.618 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.349      ;
276
; -7.615 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.344      ;
277
; -7.608 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.339      ;
278
; -7.571 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.302      ;
279
; -7.509 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.240      ;
280
; -7.497 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.228      ;
281
; -7.484 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.215      ;
282
; -7.378 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.109      ;
283
; -7.341 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.072      ;
284
; -7.147 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 4.878      ;
285
; -6.379 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.124     ; 7.153      ;
286
; -6.364 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.124     ; 7.138      ;
287
; -6.340 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[27] ; clk          ; clk         ; 1.000        ; -0.122     ; 7.116      ;
288
; -6.328 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.120     ; 7.106      ;
289
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
290
 
291
 
292
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
293
; Slow 1200mV 85C Model Hold: 'clk'                                                                                                                                                                                          ;
294
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
295
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
296
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
297
; -0.278 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.162      ; 2.941      ;
298
; -0.033 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 3.145      ; 3.169      ;
299
; -0.026 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 3.151      ; 3.182      ;
300
; -0.019 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.170      ;
301
; -0.018 ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.171      ;
302
; -0.018 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.176      ;
303
; -0.018 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.176      ;
304
; -0.015 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 3.167      ; 3.209      ;
305
; -0.015 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 3.167      ; 3.209      ;
306
; -0.003 ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 3.100      ; 3.154      ;
307
; 0.003  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.173      ; 3.233      ;
308
; 0.011  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.165      ; 3.233      ;
309
; 0.014  ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 3.094      ; 3.165      ;
310
; 0.015  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.193      ;
311
; 0.019  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.199      ;
312
; 0.029  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 3.115      ; 3.201      ;
313
; 0.034  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.115      ; 3.206      ;
314
; 0.036  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.130      ; 3.223      ;
315
; 0.037  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.220      ;
316
; 0.038  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.115      ; 3.210      ;
317
; 0.045  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.234      ;
318
; 0.048  ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.173      ; 3.278      ;
319
; 0.050  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 3.118      ; 3.225      ;
320
; 0.052  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 3.117      ; 3.226      ;
321
; 0.054  ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.107      ; 3.218      ;
322
; 0.056  ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.245      ;
323
; 0.058  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 3.172      ; 3.287      ;
324
; 0.059  ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.221      ;
325
; 0.060  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 3.123      ; 3.240      ;
326
; 0.065  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.131      ; 3.253      ;
327
; 0.069  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 3.127      ; 3.253      ;
328
; 0.071  ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 3.149      ; 3.277      ;
329
; 0.077  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.133      ; 3.267      ;
330
; 0.078  ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.267      ;
331
; 0.084  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 3.115      ; 3.256      ;
332
; 0.088  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.266      ;
333
; 0.088  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.268      ;
334
; 0.089  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.272      ;
335
; 0.090  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.097      ; 3.244      ;
336
; 0.094  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.256      ;
337
; 0.095  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.100      ; 3.252      ;
338
; 0.096  ; mbus_addr0_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 3.094      ; 3.247      ;
339
; 0.097  ; mbus_addr3_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 3.089      ; 3.243      ;
340
; 0.098  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.287      ;
341
; 0.098  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]                                          ; clk          ; clk         ; 0.000        ; 3.108      ; 3.263      ;
342
; 0.099  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.139      ; 3.295      ;
343
; 0.105  ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.306      ;
344
; 0.107  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 3.147      ; 3.311      ;
345
; 0.108  ; mbus_addr0_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.291      ;
346
; 0.108  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.141      ; 3.306      ;
347
; 0.109  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.290      ;
348
; 0.114  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 3.117      ; 3.288      ;
349
; 0.114  ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 3.172      ; 3.343      ;
350
; 0.114  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 3.127      ; 3.298      ;
351
; 0.115  ; mbus_addr0_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 3.106      ; 3.278      ;
352
; 0.115  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.144      ; 3.316      ;
353
; 0.116  ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.160      ; 3.333      ;
354
; 0.118  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 3.087      ; 3.262      ;
355
; 0.118  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.310      ;
356
; 0.120  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.303      ;
357
; 0.120  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 3.102      ; 3.279      ;
358
; 0.120  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 3.140      ; 3.317      ;
359
; 0.121  ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.315      ;
360
; 0.123  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
361
; 0.123  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
362
; 0.123  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
363
; 0.123  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 3.131      ; 3.255      ;
364
; 0.124  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.316      ;
365
; 0.125  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 3.123      ; 3.305      ;
366
; 0.126  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 3.151      ; 3.334      ;
367
; 0.127  ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.308      ;
368
; 0.127  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.289      ;
369
; 0.129  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.101      ; 3.287      ;
370
; 0.130  ; mbus_addr2_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][25]                                          ; clk          ; clk         ; 0.000        ; 3.140      ; 3.327      ;
371
; 0.131  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 3.105      ; 3.293      ;
372
; 0.132  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.312      ;
373
; 0.133  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 3.100      ; 3.290      ;
374
; 0.133  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.327      ;
375
; 0.134  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.095      ; 3.286      ;
376
; 0.135  ; mbus_addr3_i[1]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][10]                                          ; clk          ; clk         ; 0.000        ; 3.090      ; 3.282      ;
377
; 0.135  ; mbus_addr1_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.327      ;
378
; 0.135  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 3.165      ; 3.357      ;
379
; 0.135  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.141      ; 3.333      ;
380
; 0.137  ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.326      ;
381
; 0.137  ; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 3.128      ; 3.322      ;
382
; 0.138  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 3.113      ; 3.308      ;
383
; 0.138  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.300      ;
384
; 0.141  ; mbus_addr0_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.322      ;
385
; 0.143  ; mbus_addr3_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.107      ; 3.307      ;
386
; 0.143  ; mbus_addr0_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37]                                          ; clk          ; clk         ; 0.000        ; 3.106      ; 3.306      ;
387
; 0.144  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.345      ;
388
; 0.145  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.328      ;
389
; 0.145  ; mbus_addr0_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 3.108      ; 3.310      ;
390
; 0.145  ; mbus_addr2_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.127      ; 3.329      ;
391
; 0.146  ; mbus_addr0_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25]                                          ; clk          ; clk         ; 0.000        ; 3.095      ; 3.298      ;
392
; 0.146  ; mbus_addr2_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.324      ;
393
; 0.147  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 3.141      ; 3.345      ;
394
; 0.151  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[37]                                            ; clk          ; clk         ; 0.000        ; 3.117      ; 3.325      ;
395
; 0.151  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]                                            ; clk          ; clk         ; 0.000        ; 3.122      ; 3.330      ;
396
; 0.151  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.352      ;
397
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
398
 
399
 
400
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
401
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk'                                                                                                           ;
402
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
403
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
404
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
405
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
406
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
407
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
408
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
409
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
410
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
411
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
412
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
413
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
414
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
415
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
416
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
417
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
418
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
419
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
420
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
421
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
422
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
423
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
424
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
425
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
426
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
427
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
428
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
429
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
430
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
431
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
432
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
433
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
434
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
435
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
436
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
437
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
438
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
439
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
440
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
441
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
442
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
443
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
444
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
445
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
446
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
447
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
448
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
449
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
450
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
451
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
452
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
453
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
454
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
455
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
456
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
457
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
458
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
459
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
460
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
461
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
462
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
463
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
464
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
465
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
466
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
467
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
468
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
469
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
470
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
471
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
472
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
473
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
474
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
475
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
476
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
477
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
478
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
479
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
480
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
481
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
482
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
483
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
484
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
485
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
486
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
487
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
488
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
489
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
490
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
491
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
492
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
493
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
494
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
495
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
496
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
497
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
498
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
499
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
500
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
501
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
502
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
503
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
504
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
505
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
506
 
507
 
508
+-------------------------------------------------------------------------------+
509
; Setup Times                                                                   ;
510
+-------------------+------------+-------+-------+------------+-----------------+
511
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
512
+-------------------+------------+-------+-------+------------+-----------------+
513
; cbus_ack0_i       ; clk        ; 0.517 ; 0.748 ; Rise       ; clk             ;
514
; cbus_ack1_i       ; clk        ; 0.631 ; 0.820 ; Rise       ; clk             ;
515
; cbus_ack2_i       ; clk        ; 0.850 ; 0.811 ; Rise       ; clk             ;
516
; cbus_ack3_i       ; clk        ; 0.769 ; 0.740 ; Rise       ; clk             ;
517
; mbus_addr0_i[*]   ; clk        ; 0.799 ; 0.938 ; Rise       ; clk             ;
518
;  mbus_addr0_i[0]  ; clk        ; 0.638 ; 0.872 ; Rise       ; clk             ;
519
;  mbus_addr0_i[1]  ; clk        ; 0.551 ; 0.779 ; Rise       ; clk             ;
520
;  mbus_addr0_i[2]  ; clk        ; 0.649 ; 0.885 ; Rise       ; clk             ;
521
;  mbus_addr0_i[3]  ; clk        ; 0.609 ; 0.829 ; Rise       ; clk             ;
522
;  mbus_addr0_i[4]  ; clk        ; 0.662 ; 0.895 ; Rise       ; clk             ;
523
;  mbus_addr0_i[5]  ; clk        ; 0.572 ; 0.800 ; Rise       ; clk             ;
524
;  mbus_addr0_i[6]  ; clk        ; 0.616 ; 0.862 ; Rise       ; clk             ;
525
;  mbus_addr0_i[7]  ; clk        ; 0.518 ; 0.868 ; Rise       ; clk             ;
526
;  mbus_addr0_i[8]  ; clk        ; 0.528 ; 0.883 ; Rise       ; clk             ;
527
;  mbus_addr0_i[9]  ; clk        ; 0.595 ; 0.833 ; Rise       ; clk             ;
528
;  mbus_addr0_i[10] ; clk        ; 0.619 ; 0.835 ; Rise       ; clk             ;
529
;  mbus_addr0_i[11] ; clk        ; 0.687 ; 0.871 ; Rise       ; clk             ;
530
;  mbus_addr0_i[12] ; clk        ; 0.456 ; 0.742 ; Rise       ; clk             ;
531
;  mbus_addr0_i[13] ; clk        ; 0.585 ; 0.781 ; Rise       ; clk             ;
532
;  mbus_addr0_i[14] ; clk        ; 0.616 ; 0.854 ; Rise       ; clk             ;
533
;  mbus_addr0_i[15] ; clk        ; 0.560 ; 0.938 ; Rise       ; clk             ;
534
;  mbus_addr0_i[16] ; clk        ; 0.555 ; 0.788 ; Rise       ; clk             ;
535
;  mbus_addr0_i[17] ; clk        ; 0.799 ; 0.824 ; Rise       ; clk             ;
536
;  mbus_addr0_i[18] ; clk        ; 0.627 ; 0.882 ; Rise       ; clk             ;
537
;  mbus_addr0_i[19] ; clk        ; 0.616 ; 0.845 ; Rise       ; clk             ;
538
;  mbus_addr0_i[20] ; clk        ; 0.566 ; 0.807 ; Rise       ; clk             ;
539
;  mbus_addr0_i[21] ; clk        ; 0.451 ; 0.745 ; Rise       ; clk             ;
540
;  mbus_addr0_i[22] ; clk        ; 0.428 ; 0.709 ; Rise       ; clk             ;
541
;  mbus_addr0_i[23] ; clk        ; 0.636 ; 0.846 ; Rise       ; clk             ;
542
;  mbus_addr0_i[24] ; clk        ; 0.618 ; 0.864 ; Rise       ; clk             ;
543
;  mbus_addr0_i[25] ; clk        ; 0.531 ; 0.802 ; Rise       ; clk             ;
544
;  mbus_addr0_i[26] ; clk        ; 0.504 ; 0.784 ; Rise       ; clk             ;
545
;  mbus_addr0_i[27] ; clk        ; 0.635 ; 0.888 ; Rise       ; clk             ;
546
;  mbus_addr0_i[28] ; clk        ; 0.551 ; 0.761 ; Rise       ; clk             ;
547
;  mbus_addr0_i[29] ; clk        ; 0.438 ; 0.740 ; Rise       ; clk             ;
548
;  mbus_addr0_i[30] ; clk        ; 0.511 ; 0.853 ; Rise       ; clk             ;
549
;  mbus_addr0_i[31] ; clk        ; 0.519 ; 0.756 ; Rise       ; clk             ;
550
; mbus_addr1_i[*]   ; clk        ; 0.883 ; 1.090 ; Rise       ; clk             ;
551
;  mbus_addr1_i[0]  ; clk        ; 0.531 ; 0.741 ; Rise       ; clk             ;
552
;  mbus_addr1_i[1]  ; clk        ; 0.627 ; 0.900 ; Rise       ; clk             ;
553
;  mbus_addr1_i[2]  ; clk        ; 0.527 ; 0.800 ; Rise       ; clk             ;
554
;  mbus_addr1_i[3]  ; clk        ; 0.632 ; 0.883 ; Rise       ; clk             ;
555
;  mbus_addr1_i[4]  ; clk        ; 0.517 ; 0.839 ; Rise       ; clk             ;
556
;  mbus_addr1_i[5]  ; clk        ; 0.475 ; 0.818 ; Rise       ; clk             ;
557
;  mbus_addr1_i[6]  ; clk        ; 0.699 ; 0.940 ; Rise       ; clk             ;
558
;  mbus_addr1_i[7]  ; clk        ; 0.603 ; 0.934 ; Rise       ; clk             ;
559
;  mbus_addr1_i[8]  ; clk        ; 0.542 ; 0.787 ; Rise       ; clk             ;
560
;  mbus_addr1_i[9]  ; clk        ; 0.451 ; 0.769 ; Rise       ; clk             ;
561
;  mbus_addr1_i[10] ; clk        ; 0.450 ; 0.760 ; Rise       ; clk             ;
562
;  mbus_addr1_i[11] ; clk        ; 0.574 ; 0.838 ; Rise       ; clk             ;
563
;  mbus_addr1_i[12] ; clk        ; 0.375 ; 0.670 ; Rise       ; clk             ;
564
;  mbus_addr1_i[13] ; clk        ; 0.546 ; 0.781 ; Rise       ; clk             ;
565
;  mbus_addr1_i[14] ; clk        ; 0.649 ; 0.823 ; Rise       ; clk             ;
566
;  mbus_addr1_i[15] ; clk        ; 0.832 ; 1.040 ; Rise       ; clk             ;
567
;  mbus_addr1_i[16] ; clk        ; 0.530 ; 0.778 ; Rise       ; clk             ;
568
;  mbus_addr1_i[17] ; clk        ; 0.634 ; 0.727 ; Rise       ; clk             ;
569
;  mbus_addr1_i[18] ; clk        ; 0.693 ; 0.783 ; Rise       ; clk             ;
570
;  mbus_addr1_i[19] ; clk        ; 0.610 ; 0.793 ; Rise       ; clk             ;
571
;  mbus_addr1_i[20] ; clk        ; 0.535 ; 0.810 ; Rise       ; clk             ;
572
;  mbus_addr1_i[21] ; clk        ; 0.633 ; 0.795 ; Rise       ; clk             ;
573
;  mbus_addr1_i[22] ; clk        ; 0.458 ; 0.696 ; Rise       ; clk             ;
574
;  mbus_addr1_i[23] ; clk        ; 0.444 ; 0.746 ; Rise       ; clk             ;
575
;  mbus_addr1_i[24] ; clk        ; 0.508 ; 0.763 ; Rise       ; clk             ;
576
;  mbus_addr1_i[25] ; clk        ; 0.883 ; 1.090 ; Rise       ; clk             ;
577
;  mbus_addr1_i[26] ; clk        ; 0.501 ; 0.818 ; Rise       ; clk             ;
578
;  mbus_addr1_i[27] ; clk        ; 0.446 ; 0.721 ; Rise       ; clk             ;
579
;  mbus_addr1_i[28] ; clk        ; 0.440 ; 0.634 ; Rise       ; clk             ;
580
;  mbus_addr1_i[29] ; clk        ; 0.633 ; 0.894 ; Rise       ; clk             ;
581
;  mbus_addr1_i[30] ; clk        ; 0.541 ; 0.828 ; Rise       ; clk             ;
582
;  mbus_addr1_i[31] ; clk        ; 0.605 ; 0.873 ; Rise       ; clk             ;
583
; mbus_addr2_i[*]   ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
584
;  mbus_addr2_i[0]  ; clk        ; 0.533 ; 0.846 ; Rise       ; clk             ;
585
;  mbus_addr2_i[1]  ; clk        ; 0.577 ; 0.802 ; Rise       ; clk             ;
586
;  mbus_addr2_i[2]  ; clk        ; 0.560 ; 0.794 ; Rise       ; clk             ;
587
;  mbus_addr2_i[3]  ; clk        ; 0.371 ; 0.687 ; Rise       ; clk             ;
588
;  mbus_addr2_i[4]  ; clk        ; 0.409 ; 0.777 ; Rise       ; clk             ;
589
;  mbus_addr2_i[5]  ; clk        ; 0.435 ; 0.748 ; Rise       ; clk             ;
590
;  mbus_addr2_i[6]  ; clk        ; 0.476 ; 0.741 ; Rise       ; clk             ;
591
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.694 ; Rise       ; clk             ;
592
;  mbus_addr2_i[8]  ; clk        ; 0.459 ; 0.775 ; Rise       ; clk             ;
593
;  mbus_addr2_i[9]  ; clk        ; 0.415 ; 0.702 ; Rise       ; clk             ;
594
;  mbus_addr2_i[10] ; clk        ; 0.685 ; 0.918 ; Rise       ; clk             ;
595
;  mbus_addr2_i[11] ; clk        ; 0.593 ; 0.857 ; Rise       ; clk             ;
596
;  mbus_addr2_i[12] ; clk        ; 0.497 ; 0.854 ; Rise       ; clk             ;
597
;  mbus_addr2_i[13] ; clk        ; 0.515 ; 0.852 ; Rise       ; clk             ;
598
;  mbus_addr2_i[14] ; clk        ; 0.533 ; 0.780 ; Rise       ; clk             ;
599
;  mbus_addr2_i[15] ; clk        ; 0.520 ; 0.787 ; Rise       ; clk             ;
600
;  mbus_addr2_i[16] ; clk        ; 0.636 ; 0.868 ; Rise       ; clk             ;
601
;  mbus_addr2_i[17] ; clk        ; 0.463 ; 0.790 ; Rise       ; clk             ;
602
;  mbus_addr2_i[18] ; clk        ; 0.496 ; 0.821 ; Rise       ; clk             ;
603
;  mbus_addr2_i[19] ; clk        ; 0.557 ; 0.701 ; Rise       ; clk             ;
604
;  mbus_addr2_i[20] ; clk        ; 0.547 ; 0.661 ; Rise       ; clk             ;
605
;  mbus_addr2_i[21] ; clk        ; 0.559 ; 0.802 ; Rise       ; clk             ;
606
;  mbus_addr2_i[22] ; clk        ; 0.460 ; 0.790 ; Rise       ; clk             ;
607
;  mbus_addr2_i[23] ; clk        ; 0.591 ; 0.863 ; Rise       ; clk             ;
608
;  mbus_addr2_i[24] ; clk        ; 0.493 ; 0.724 ; Rise       ; clk             ;
609
;  mbus_addr2_i[25] ; clk        ; 0.462 ; 0.818 ; Rise       ; clk             ;
610
;  mbus_addr2_i[26] ; clk        ; 0.519 ; 0.805 ; Rise       ; clk             ;
611
;  mbus_addr2_i[27] ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
612
;  mbus_addr2_i[28] ; clk        ; 0.483 ; 0.829 ; Rise       ; clk             ;
613
;  mbus_addr2_i[29] ; clk        ; 0.600 ; 0.872 ; Rise       ; clk             ;
614
;  mbus_addr2_i[30] ; clk        ; 0.547 ; 0.774 ; Rise       ; clk             ;
615
;  mbus_addr2_i[31] ; clk        ; 0.682 ; 0.961 ; Rise       ; clk             ;
616
; mbus_addr3_i[*]   ; clk        ; 0.779 ; 1.045 ; Rise       ; clk             ;
617
;  mbus_addr3_i[0]  ; clk        ; 0.620 ; 0.860 ; Rise       ; clk             ;
618
;  mbus_addr3_i[1]  ; clk        ; 0.578 ; 0.796 ; Rise       ; clk             ;
619
;  mbus_addr3_i[2]  ; clk        ; 0.616 ; 0.884 ; Rise       ; clk             ;
620
;  mbus_addr3_i[3]  ; clk        ; 0.649 ; 0.844 ; Rise       ; clk             ;
621
;  mbus_addr3_i[4]  ; clk        ; 0.658 ; 0.899 ; Rise       ; clk             ;
622
;  mbus_addr3_i[5]  ; clk        ; 0.512 ; 0.843 ; Rise       ; clk             ;
623
;  mbus_addr3_i[6]  ; clk        ; 0.562 ; 0.812 ; Rise       ; clk             ;
624
;  mbus_addr3_i[7]  ; clk        ; 0.779 ; 1.045 ; Rise       ; clk             ;
625
;  mbus_addr3_i[8]  ; clk        ; 0.568 ; 0.834 ; Rise       ; clk             ;
626
;  mbus_addr3_i[9]  ; clk        ; 0.589 ; 0.824 ; Rise       ; clk             ;
627
;  mbus_addr3_i[10] ; clk        ; 0.600 ; 0.858 ; Rise       ; clk             ;
628
;  mbus_addr3_i[11] ; clk        ; 0.650 ; 0.889 ; Rise       ; clk             ;
629
;  mbus_addr3_i[12] ; clk        ; 0.536 ; 0.869 ; Rise       ; clk             ;
630
;  mbus_addr3_i[13] ; clk        ; 0.599 ; 0.800 ; Rise       ; clk             ;
631
;  mbus_addr3_i[14] ; clk        ; 0.522 ; 0.814 ; Rise       ; clk             ;
632
;  mbus_addr3_i[15] ; clk        ; 0.560 ; 0.781 ; Rise       ; clk             ;
633
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.856 ; Rise       ; clk             ;
634
;  mbus_addr3_i[17] ; clk        ; 0.563 ; 0.835 ; Rise       ; clk             ;
635
;  mbus_addr3_i[18] ; clk        ; 0.649 ; 0.886 ; Rise       ; clk             ;
636
;  mbus_addr3_i[19] ; clk        ; 0.679 ; 0.959 ; Rise       ; clk             ;
637
;  mbus_addr3_i[20] ; clk        ; 0.603 ; 0.859 ; Rise       ; clk             ;
638
;  mbus_addr3_i[21] ; clk        ; 0.545 ; 0.787 ; Rise       ; clk             ;
639
;  mbus_addr3_i[22] ; clk        ; 0.614 ; 0.794 ; Rise       ; clk             ;
640
;  mbus_addr3_i[23] ; clk        ; 0.526 ; 0.847 ; Rise       ; clk             ;
641
;  mbus_addr3_i[24] ; clk        ; 0.581 ; 0.872 ; Rise       ; clk             ;
642
;  mbus_addr3_i[25] ; clk        ; 0.515 ; 0.803 ; Rise       ; clk             ;
643
;  mbus_addr3_i[26] ; clk        ; 0.613 ; 0.973 ; Rise       ; clk             ;
644
;  mbus_addr3_i[27] ; clk        ; 0.703 ; 0.926 ; Rise       ; clk             ;
645
;  mbus_addr3_i[28] ; clk        ; 0.580 ; 0.804 ; Rise       ; clk             ;
646
;  mbus_addr3_i[29] ; clk        ; 0.708 ; 0.952 ; Rise       ; clk             ;
647
;  mbus_addr3_i[30] ; clk        ; 0.514 ; 0.807 ; Rise       ; clk             ;
648
;  mbus_addr3_i[31] ; clk        ; 0.535 ; 0.885 ; Rise       ; clk             ;
649
; mbus_cmd0_i[*]    ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
650
;  mbus_cmd0_i[0]   ; clk        ; 0.654 ; 0.838 ; Rise       ; clk             ;
651
;  mbus_cmd0_i[1]   ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
652
;  mbus_cmd0_i[2]   ; clk        ; 0.602 ; 0.752 ; Rise       ; clk             ;
653
; mbus_cmd1_i[*]    ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
654
;  mbus_cmd1_i[0]   ; clk        ; 0.731 ; 0.996 ; Rise       ; clk             ;
655
;  mbus_cmd1_i[1]   ; clk        ; 0.621 ; 0.908 ; Rise       ; clk             ;
656
;  mbus_cmd1_i[2]   ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
657
; mbus_cmd2_i[*]    ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
658
;  mbus_cmd2_i[0]   ; clk        ; 0.594 ; 0.775 ; Rise       ; clk             ;
659
;  mbus_cmd2_i[1]   ; clk        ; 0.633 ; 0.735 ; Rise       ; clk             ;
660
;  mbus_cmd2_i[2]   ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
661
; mbus_cmd3_i[*]    ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
662
;  mbus_cmd3_i[0]   ; clk        ; 0.630 ; 0.950 ; Rise       ; clk             ;
663
;  mbus_cmd3_i[1]   ; clk        ; 0.642 ; 0.863 ; Rise       ; clk             ;
664
;  mbus_cmd3_i[2]   ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
665
+-------------------+------------+-------+-------+------------+-----------------+
666
 
667
 
668
+---------------------------------------------------------------------------------+
669
; Hold Times                                                                      ;
670
+-------------------+------------+--------+--------+------------+-----------------+
671
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
672
+-------------------+------------+--------+--------+------------+-----------------+
673
; cbus_ack0_i       ; clk        ; 0.029  ; -0.226 ; Rise       ; clk             ;
674
; cbus_ack1_i       ; clk        ; -0.082 ; -0.297 ; Rise       ; clk             ;
675
; cbus_ack2_i       ; clk        ; -0.228 ; -0.341 ; Rise       ; clk             ;
676
; cbus_ack3_i       ; clk        ; -0.143 ; -0.135 ; Rise       ; clk             ;
677
; mbus_addr0_i[*]   ; clk        ; 0.115  ; -0.176 ; Rise       ; clk             ;
678
;  mbus_addr0_i[0]  ; clk        ; -0.162 ; -0.410 ; Rise       ; clk             ;
679
;  mbus_addr0_i[1]  ; clk        ; -0.063 ; -0.297 ; Rise       ; clk             ;
680
;  mbus_addr0_i[2]  ; clk        ; -0.073 ; -0.299 ; Rise       ; clk             ;
681
;  mbus_addr0_i[3]  ; clk        ; -0.071 ; -0.290 ; Rise       ; clk             ;
682
;  mbus_addr0_i[4]  ; clk        ; -0.078 ; -0.326 ; Rise       ; clk             ;
683
;  mbus_addr0_i[5]  ; clk        ; -0.141 ; -0.368 ; Rise       ; clk             ;
684
;  mbus_addr0_i[6]  ; clk        ; -0.162 ; -0.396 ; Rise       ; clk             ;
685
;  mbus_addr0_i[7]  ; clk        ; 0.052  ; -0.259 ; Rise       ; clk             ;
686
;  mbus_addr0_i[8]  ; clk        ; 0.103  ; -0.217 ; Rise       ; clk             ;
687
;  mbus_addr0_i[9]  ; clk        ; -0.041 ; -0.266 ; Rise       ; clk             ;
688
;  mbus_addr0_i[10] ; clk        ; -0.151 ; -0.350 ; Rise       ; clk             ;
689
;  mbus_addr0_i[11] ; clk        ; -0.138 ; -0.357 ; Rise       ; clk             ;
690
;  mbus_addr0_i[12] ; clk        ; 0.010  ; -0.299 ; Rise       ; clk             ;
691
;  mbus_addr0_i[13] ; clk        ; -0.083 ; -0.283 ; Rise       ; clk             ;
692
;  mbus_addr0_i[14] ; clk        ; -0.119 ; -0.353 ; Rise       ; clk             ;
693
;  mbus_addr0_i[15] ; clk        ; 0.086  ; -0.246 ; Rise       ; clk             ;
694
;  mbus_addr0_i[16] ; clk        ; -0.046 ; -0.298 ; Rise       ; clk             ;
695
;  mbus_addr0_i[17] ; clk        ; -0.274 ; -0.322 ; Rise       ; clk             ;
696
;  mbus_addr0_i[18] ; clk        ; -0.087 ; -0.249 ; Rise       ; clk             ;
697
;  mbus_addr0_i[19] ; clk        ; -0.008 ; -0.250 ; Rise       ; clk             ;
698
;  mbus_addr0_i[20] ; clk        ; -0.009 ; -0.240 ; Rise       ; clk             ;
699
;  mbus_addr0_i[21] ; clk        ; 0.063  ; -0.229 ; Rise       ; clk             ;
700
;  mbus_addr0_i[22] ; clk        ; 0.005  ; -0.281 ; Rise       ; clk             ;
701
;  mbus_addr0_i[23] ; clk        ; -0.100 ; -0.316 ; Rise       ; clk             ;
702
;  mbus_addr0_i[24] ; clk        ; -0.188 ; -0.410 ; Rise       ; clk             ;
703
;  mbus_addr0_i[25] ; clk        ; -0.015 ; -0.248 ; Rise       ; clk             ;
704
;  mbus_addr0_i[26] ; clk        ; 0.004  ; -0.280 ; Rise       ; clk             ;
705
;  mbus_addr0_i[27] ; clk        ; -0.045 ; -0.289 ; Rise       ; clk             ;
706
;  mbus_addr0_i[28] ; clk        ; -0.043 ; -0.279 ; Rise       ; clk             ;
707
;  mbus_addr0_i[29] ; clk        ; 0.002  ; -0.299 ; Rise       ; clk             ;
708
;  mbus_addr0_i[30] ; clk        ; 0.115  ; -0.176 ; Rise       ; clk             ;
709
;  mbus_addr0_i[31] ; clk        ; -0.094 ; -0.325 ; Rise       ; clk             ;
710
; mbus_addr1_i[*]   ; clk        ; 0.378  ; 0.110  ; Rise       ; clk             ;
711
;  mbus_addr1_i[0]  ; clk        ; -0.067 ; -0.275 ; Rise       ; clk             ;
712
;  mbus_addr1_i[1]  ; clk        ; -0.111 ; -0.355 ; Rise       ; clk             ;
713
;  mbus_addr1_i[2]  ; clk        ; -0.103 ; -0.355 ; Rise       ; clk             ;
714
;  mbus_addr1_i[3]  ; clk        ; -0.116 ; -0.364 ; Rise       ; clk             ;
715
;  mbus_addr1_i[4]  ; clk        ; 0.118  ; -0.168 ; Rise       ; clk             ;
716
;  mbus_addr1_i[5]  ; clk        ; 0.118  ; -0.198 ; Rise       ; clk             ;
717
;  mbus_addr1_i[6]  ; clk        ; -0.136 ; -0.365 ; Rise       ; clk             ;
718
;  mbus_addr1_i[7]  ; clk        ; 0.378  ; 0.110  ; Rise       ; clk             ;
719
;  mbus_addr1_i[8]  ; clk        ; -0.078 ; -0.312 ; Rise       ; clk             ;
720
;  mbus_addr1_i[9]  ; clk        ; 0.035  ; -0.244 ; Rise       ; clk             ;
721
;  mbus_addr1_i[10] ; clk        ; -0.007 ; -0.279 ; Rise       ; clk             ;
722
;  mbus_addr1_i[11] ; clk        ; -0.082 ; -0.269 ; Rise       ; clk             ;
723
;  mbus_addr1_i[12] ; clk        ; 0.055  ; -0.253 ; Rise       ; clk             ;
724
;  mbus_addr1_i[13] ; clk        ; -0.099 ; -0.317 ; Rise       ; clk             ;
725
;  mbus_addr1_i[14] ; clk        ; -0.102 ; -0.294 ; Rise       ; clk             ;
726
;  mbus_addr1_i[15] ; clk        ; -0.081 ; -0.296 ; Rise       ; clk             ;
727
;  mbus_addr1_i[16] ; clk        ; -0.073 ; -0.315 ; Rise       ; clk             ;
728
;  mbus_addr1_i[17] ; clk        ; -0.214 ; -0.318 ; Rise       ; clk             ;
729
;  mbus_addr1_i[18] ; clk        ; -0.199 ; -0.292 ; Rise       ; clk             ;
730
;  mbus_addr1_i[19] ; clk        ; -0.145 ; -0.334 ; Rise       ; clk             ;
731
;  mbus_addr1_i[20] ; clk        ; -0.035 ; -0.279 ; Rise       ; clk             ;
732
;  mbus_addr1_i[21] ; clk        ; -0.204 ; -0.378 ; Rise       ; clk             ;
733
;  mbus_addr1_i[22] ; clk        ; -0.015 ; -0.194 ; Rise       ; clk             ;
734
;  mbus_addr1_i[23] ; clk        ; -0.018 ; -0.308 ; Rise       ; clk             ;
735
;  mbus_addr1_i[24] ; clk        ; -0.056 ; -0.302 ; Rise       ; clk             ;
736
;  mbus_addr1_i[25] ; clk        ; -0.057 ; -0.214 ; Rise       ; clk             ;
737
;  mbus_addr1_i[26] ; clk        ; -0.037 ; -0.356 ; Rise       ; clk             ;
738
;  mbus_addr1_i[27] ; clk        ; 0.119  ; -0.151 ; Rise       ; clk             ;
739
;  mbus_addr1_i[28] ; clk        ; -0.014 ; -0.224 ; Rise       ; clk             ;
740
;  mbus_addr1_i[29] ; clk        ; -0.099 ; -0.282 ; Rise       ; clk             ;
741
;  mbus_addr1_i[30] ; clk        ; 0.050  ; -0.214 ; Rise       ; clk             ;
742
;  mbus_addr1_i[31] ; clk        ; 0.022  ; -0.208 ; Rise       ; clk             ;
743
; mbus_addr2_i[*]   ; clk        ; 0.133  ; -0.176 ; Rise       ; clk             ;
744
;  mbus_addr2_i[0]  ; clk        ; -0.070 ; -0.251 ; Rise       ; clk             ;
745
;  mbus_addr2_i[1]  ; clk        ; -0.134 ; -0.352 ; Rise       ; clk             ;
746
;  mbus_addr2_i[2]  ; clk        ; -0.032 ; -0.283 ; Rise       ; clk             ;
747
;  mbus_addr2_i[3]  ; clk        ; 0.081  ; -0.248 ; Rise       ; clk             ;
748
;  mbus_addr2_i[4]  ; clk        ; 0.085  ; -0.285 ; Rise       ; clk             ;
749
;  mbus_addr2_i[5]  ; clk        ; -0.008 ; -0.317 ; Rise       ; clk             ;
750
;  mbus_addr2_i[6]  ; clk        ; -0.021 ; -0.286 ; Rise       ; clk             ;
751
;  mbus_addr2_i[7]  ; clk        ; -0.045 ; -0.176 ; Rise       ; clk             ;
752
;  mbus_addr2_i[8]  ; clk        ; -0.038 ; -0.315 ; Rise       ; clk             ;
753
;  mbus_addr2_i[9]  ; clk        ; 0.006  ; -0.290 ; Rise       ; clk             ;
754
;  mbus_addr2_i[10] ; clk        ; -0.118 ; -0.373 ; Rise       ; clk             ;
755
;  mbus_addr2_i[11] ; clk        ; -0.133 ; -0.383 ; Rise       ; clk             ;
756
;  mbus_addr2_i[12] ; clk        ; 0.064  ; -0.304 ; Rise       ; clk             ;
757
;  mbus_addr2_i[13] ; clk        ; -0.082 ; -0.399 ; Rise       ; clk             ;
758
;  mbus_addr2_i[14] ; clk        ; -0.046 ; -0.307 ; Rise       ; clk             ;
759
;  mbus_addr2_i[15] ; clk        ; -0.094 ; -0.369 ; Rise       ; clk             ;
760
;  mbus_addr2_i[16] ; clk        ; -0.030 ; -0.302 ; Rise       ; clk             ;
761
;  mbus_addr2_i[17] ; clk        ; 0.002  ; -0.335 ; Rise       ; clk             ;
762
;  mbus_addr2_i[18] ; clk        ; -0.037 ; -0.312 ; Rise       ; clk             ;
763
;  mbus_addr2_i[19] ; clk        ; -0.131 ; -0.245 ; Rise       ; clk             ;
764
;  mbus_addr2_i[20] ; clk        ; -0.084 ; -0.231 ; Rise       ; clk             ;
765
;  mbus_addr2_i[21] ; clk        ; -0.126 ; -0.365 ; Rise       ; clk             ;
766
;  mbus_addr2_i[22] ; clk        ; 0.089  ; -0.211 ; Rise       ; clk             ;
767
;  mbus_addr2_i[23] ; clk        ; -0.154 ; -0.433 ; Rise       ; clk             ;
768
;  mbus_addr2_i[24] ; clk        ; -0.058 ; -0.305 ; Rise       ; clk             ;
769
;  mbus_addr2_i[25] ; clk        ; 0.133  ; -0.221 ; Rise       ; clk             ;
770
;  mbus_addr2_i[26] ; clk        ; -0.092 ; -0.384 ; Rise       ; clk             ;
771
;  mbus_addr2_i[27] ; clk        ; -0.215 ; -0.434 ; Rise       ; clk             ;
772
;  mbus_addr2_i[28] ; clk        ; -0.058 ; -0.323 ; Rise       ; clk             ;
773
;  mbus_addr2_i[29] ; clk        ; -0.118 ; -0.372 ; Rise       ; clk             ;
774
;  mbus_addr2_i[30] ; clk        ; -0.066 ; -0.273 ; Rise       ; clk             ;
775
;  mbus_addr2_i[31] ; clk        ; -0.236 ; -0.518 ; Rise       ; clk             ;
776
; mbus_addr3_i[*]   ; clk        ; 0.046  ; -0.136 ; Rise       ; clk             ;
777
;  mbus_addr3_i[0]  ; clk        ; -0.143 ; -0.423 ; Rise       ; clk             ;
778
;  mbus_addr3_i[1]  ; clk        ; -0.035 ; -0.279 ; Rise       ; clk             ;
779
;  mbus_addr3_i[2]  ; clk        ; -0.108 ; -0.359 ; Rise       ; clk             ;
780
;  mbus_addr3_i[3]  ; clk        ; -0.194 ; -0.418 ; Rise       ; clk             ;
781
;  mbus_addr3_i[4]  ; clk        ; -0.223 ; -0.475 ; Rise       ; clk             ;
782
;  mbus_addr3_i[5]  ; clk        ; 0.041  ; -0.254 ; Rise       ; clk             ;
783
;  mbus_addr3_i[6]  ; clk        ; -0.134 ; -0.378 ; Rise       ; clk             ;
784
;  mbus_addr3_i[7]  ; clk        ; -0.016 ; -0.225 ; Rise       ; clk             ;
785
;  mbus_addr3_i[8]  ; clk        ; -0.130 ; -0.385 ; Rise       ; clk             ;
786
;  mbus_addr3_i[9]  ; clk        ; -0.071 ; -0.298 ; Rise       ; clk             ;
787
;  mbus_addr3_i[10] ; clk        ; -0.066 ; -0.293 ; Rise       ; clk             ;
788
;  mbus_addr3_i[11] ; clk        ; 0.044  ; -0.136 ; Rise       ; clk             ;
789
;  mbus_addr3_i[12] ; clk        ; 0.046  ; -0.267 ; Rise       ; clk             ;
790
;  mbus_addr3_i[13] ; clk        ; -0.116 ; -0.339 ; Rise       ; clk             ;
791
;  mbus_addr3_i[14] ; clk        ; -0.027 ; -0.302 ; Rise       ; clk             ;
792
;  mbus_addr3_i[15] ; clk        ; -0.085 ; -0.304 ; Rise       ; clk             ;
793
;  mbus_addr3_i[16] ; clk        ; -0.139 ; -0.302 ; Rise       ; clk             ;
794
;  mbus_addr3_i[17] ; clk        ; -0.128 ; -0.377 ; Rise       ; clk             ;
795
;  mbus_addr3_i[18] ; clk        ; -0.133 ; -0.367 ; Rise       ; clk             ;
796
;  mbus_addr3_i[19] ; clk        ; -0.018 ; -0.321 ; Rise       ; clk             ;
797
;  mbus_addr3_i[20] ; clk        ; -0.043 ; -0.282 ; Rise       ; clk             ;
798
;  mbus_addr3_i[21] ; clk        ; -0.108 ; -0.294 ; Rise       ; clk             ;
799
;  mbus_addr3_i[22] ; clk        ; -0.145 ; -0.342 ; Rise       ; clk             ;
800
;  mbus_addr3_i[23] ; clk        ; 0.003  ; -0.309 ; Rise       ; clk             ;
801
;  mbus_addr3_i[24] ; clk        ; -0.123 ; -0.435 ; Rise       ; clk             ;
802
;  mbus_addr3_i[25] ; clk        ; -0.083 ; -0.371 ; Rise       ; clk             ;
803
;  mbus_addr3_i[26] ; clk        ; -0.083 ; -0.419 ; Rise       ; clk             ;
804
;  mbus_addr3_i[27] ; clk        ; -0.092 ; -0.260 ; Rise       ; clk             ;
805
;  mbus_addr3_i[28] ; clk        ; -0.120 ; -0.373 ; Rise       ; clk             ;
806
;  mbus_addr3_i[29] ; clk        ; -0.067 ; -0.245 ; Rise       ; clk             ;
807
;  mbus_addr3_i[30] ; clk        ; -0.080 ; -0.391 ; Rise       ; clk             ;
808
;  mbus_addr3_i[31] ; clk        ; -0.101 ; -0.428 ; Rise       ; clk             ;
809
; mbus_cmd0_i[*]    ; clk        ; 0.071  ; -0.071 ; Rise       ; clk             ;
810
;  mbus_cmd0_i[0]   ; clk        ; 0.066  ; -0.096 ; Rise       ; clk             ;
811
;  mbus_cmd0_i[1]   ; clk        ; -0.134 ; -0.300 ; Rise       ; clk             ;
812
;  mbus_cmd0_i[2]   ; clk        ; 0.071  ; -0.071 ; Rise       ; clk             ;
813
; mbus_cmd1_i[*]    ; clk        ; 0.097  ; -0.077 ; Rise       ; clk             ;
814
;  mbus_cmd1_i[0]   ; clk        ; -0.014 ; -0.303 ; Rise       ; clk             ;
815
;  mbus_cmd1_i[1]   ; clk        ; 0.097  ; -0.077 ; Rise       ; clk             ;
816
;  mbus_cmd1_i[2]   ; clk        ; 0.042  ; -0.246 ; Rise       ; clk             ;
817
; mbus_cmd2_i[*]    ; clk        ; -0.005 ; -0.130 ; Rise       ; clk             ;
818
;  mbus_cmd2_i[0]   ; clk        ; -0.051 ; -0.186 ; Rise       ; clk             ;
819
;  mbus_cmd2_i[1]   ; clk        ; -0.005 ; -0.130 ; Rise       ; clk             ;
820
;  mbus_cmd2_i[2]   ; clk        ; -0.044 ; -0.211 ; Rise       ; clk             ;
821
; mbus_cmd3_i[*]    ; clk        ; 0.126  ; -0.137 ; Rise       ; clk             ;
822
;  mbus_cmd3_i[0]   ; clk        ; 0.126  ; -0.137 ; Rise       ; clk             ;
823
;  mbus_cmd3_i[1]   ; clk        ; -0.026 ; -0.262 ; Rise       ; clk             ;
824
;  mbus_cmd3_i[2]   ; clk        ; -0.153 ; -0.412 ; Rise       ; clk             ;
825
+-------------------+------------+--------+--------+------------+-----------------+
826
 
827
 
828
+------------------------------------------------------------------------------+
829
; Clock to Output Times                                                        ;
830
+------------------+------------+-------+-------+------------+-----------------+
831
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
832
+------------------+------------+-------+-------+------------+-----------------+
833
; cbus_addr_o[*]   ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
834
;  cbus_addr_o[0]  ; clk        ; 6.025 ; 5.982 ; Rise       ; clk             ;
835
;  cbus_addr_o[1]  ; clk        ; 6.050 ; 6.007 ; Rise       ; clk             ;
836
;  cbus_addr_o[2]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
837
;  cbus_addr_o[3]  ; clk        ; 5.992 ; 5.949 ; Rise       ; clk             ;
838
;  cbus_addr_o[4]  ; clk        ; 6.014 ; 5.971 ; Rise       ; clk             ;
839
;  cbus_addr_o[5]  ; clk        ; 5.986 ; 5.943 ; Rise       ; clk             ;
840
;  cbus_addr_o[6]  ; clk        ; 6.024 ; 5.981 ; Rise       ; clk             ;
841
;  cbus_addr_o[7]  ; clk        ; 6.071 ; 6.028 ; Rise       ; clk             ;
842
;  cbus_addr_o[8]  ; clk        ; 6.037 ; 5.994 ; Rise       ; clk             ;
843
;  cbus_addr_o[9]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
844
;  cbus_addr_o[10] ; clk        ; 6.060 ; 6.017 ; Rise       ; clk             ;
845
;  cbus_addr_o[11] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
846
;  cbus_addr_o[12] ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
847
;  cbus_addr_o[13] ; clk        ; 5.987 ; 5.944 ; Rise       ; clk             ;
848
;  cbus_addr_o[14] ; clk        ; 6.046 ; 6.003 ; Rise       ; clk             ;
849
;  cbus_addr_o[15] ; clk        ; 6.055 ; 6.012 ; Rise       ; clk             ;
850
;  cbus_addr_o[16] ; clk        ; 6.058 ; 6.015 ; Rise       ; clk             ;
851
;  cbus_addr_o[17] ; clk        ; 6.003 ; 5.960 ; Rise       ; clk             ;
852
;  cbus_addr_o[18] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
853
;  cbus_addr_o[19] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
854
;  cbus_addr_o[20] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
855
;  cbus_addr_o[21] ; clk        ; 5.994 ; 5.951 ; Rise       ; clk             ;
856
;  cbus_addr_o[22] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
857
;  cbus_addr_o[23] ; clk        ; 5.999 ; 5.956 ; Rise       ; clk             ;
858
;  cbus_addr_o[24] ; clk        ; 6.006 ; 5.963 ; Rise       ; clk             ;
859
;  cbus_addr_o[25] ; clk        ; 5.993 ; 5.957 ; Rise       ; clk             ;
860
;  cbus_addr_o[26] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
861
;  cbus_addr_o[27] ; clk        ; 6.033 ; 5.990 ; Rise       ; clk             ;
862
;  cbus_addr_o[28] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
863
;  cbus_addr_o[29] ; clk        ; 6.027 ; 5.984 ; Rise       ; clk             ;
864
;  cbus_addr_o[30] ; clk        ; 6.059 ; 6.016 ; Rise       ; clk             ;
865
;  cbus_addr_o[31] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
866
; cbus_cmd0_o[*]   ; clk        ; 9.110 ; 9.097 ; Rise       ; clk             ;
867
;  cbus_cmd0_o[0]  ; clk        ; 9.110 ; 8.961 ; Rise       ; clk             ;
868
;  cbus_cmd0_o[1]  ; clk        ; 9.095 ; 9.097 ; Rise       ; clk             ;
869
;  cbus_cmd0_o[2]  ; clk        ; 8.955 ; 9.012 ; Rise       ; clk             ;
870
; cbus_cmd1_o[*]   ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
871
;  cbus_cmd1_o[0]  ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
872
;  cbus_cmd1_o[1]  ; clk        ; 9.105 ; 9.047 ; Rise       ; clk             ;
873
;  cbus_cmd1_o[2]  ; clk        ; 8.844 ; 8.748 ; Rise       ; clk             ;
874
; cbus_cmd2_o[*]   ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
875
;  cbus_cmd2_o[0]  ; clk        ; 8.900 ; 8.767 ; Rise       ; clk             ;
876
;  cbus_cmd2_o[1]  ; clk        ; 9.119 ; 9.092 ; Rise       ; clk             ;
877
;  cbus_cmd2_o[2]  ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
878
; cbus_cmd3_o[*]   ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
879
;  cbus_cmd3_o[0]  ; clk        ; 8.823 ; 8.697 ; Rise       ; clk             ;
880
;  cbus_cmd3_o[1]  ; clk        ; 8.786 ; 8.716 ; Rise       ; clk             ;
881
;  cbus_cmd3_o[2]  ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
882
; mbus_ack0_o      ; clk        ; 6.000 ; 5.957 ; Rise       ; clk             ;
883
; mbus_ack1_o      ; clk        ; 6.008 ; 5.965 ; Rise       ; clk             ;
884
; mbus_ack2_o      ; clk        ; 6.040 ; 5.997 ; Rise       ; clk             ;
885
; mbus_ack3_o      ; clk        ; 6.047 ; 6.004 ; Rise       ; clk             ;
886
+------------------+------------+-------+-------+------------+-----------------+
887
 
888
 
889
+------------------------------------------------------------------------------+
890
; Minimum Clock to Output Times                                                ;
891
+------------------+------------+-------+-------+------------+-----------------+
892
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
893
+------------------+------------+-------+-------+------------+-----------------+
894
; cbus_addr_o[*]   ; clk        ; 5.861 ; 5.819 ; Rise       ; clk             ;
895
;  cbus_addr_o[0]  ; clk        ; 5.900 ; 5.858 ; Rise       ; clk             ;
896
;  cbus_addr_o[1]  ; clk        ; 5.926 ; 5.884 ; Rise       ; clk             ;
897
;  cbus_addr_o[2]  ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
898
;  cbus_addr_o[3]  ; clk        ; 5.868 ; 5.826 ; Rise       ; clk             ;
899
;  cbus_addr_o[4]  ; clk        ; 5.890 ; 5.848 ; Rise       ; clk             ;
900
;  cbus_addr_o[5]  ; clk        ; 5.861 ; 5.819 ; Rise       ; clk             ;
901
;  cbus_addr_o[6]  ; clk        ; 5.900 ; 5.858 ; Rise       ; clk             ;
902
;  cbus_addr_o[7]  ; clk        ; 5.948 ; 5.906 ; Rise       ; clk             ;
903
;  cbus_addr_o[8]  ; clk        ; 5.914 ; 5.872 ; Rise       ; clk             ;
904
;  cbus_addr_o[9]  ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
905
;  cbus_addr_o[10] ; clk        ; 5.936 ; 5.894 ; Rise       ; clk             ;
906
;  cbus_addr_o[11] ; clk        ; 5.871 ; 5.829 ; Rise       ; clk             ;
907
;  cbus_addr_o[12] ; clk        ; 5.981 ; 5.939 ; Rise       ; clk             ;
908
;  cbus_addr_o[13] ; clk        ; 5.863 ; 5.821 ; Rise       ; clk             ;
909
;  cbus_addr_o[14] ; clk        ; 5.923 ; 5.881 ; Rise       ; clk             ;
910
;  cbus_addr_o[15] ; clk        ; 5.933 ; 5.891 ; Rise       ; clk             ;
911
;  cbus_addr_o[16] ; clk        ; 5.935 ; 5.893 ; Rise       ; clk             ;
912
;  cbus_addr_o[17] ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
913
;  cbus_addr_o[18] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
914
;  cbus_addr_o[19] ; clk        ; 5.881 ; 5.839 ; Rise       ; clk             ;
915
;  cbus_addr_o[20] ; clk        ; 5.888 ; 5.846 ; Rise       ; clk             ;
916
;  cbus_addr_o[21] ; clk        ; 5.870 ; 5.828 ; Rise       ; clk             ;
917
;  cbus_addr_o[22] ; clk        ; 5.887 ; 5.845 ; Rise       ; clk             ;
918
;  cbus_addr_o[23] ; clk        ; 5.875 ; 5.833 ; Rise       ; clk             ;
919
;  cbus_addr_o[24] ; clk        ; 5.882 ; 5.840 ; Rise       ; clk             ;
920
;  cbus_addr_o[25] ; clk        ; 5.869 ; 5.834 ; Rise       ; clk             ;
921
;  cbus_addr_o[26] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
922
;  cbus_addr_o[27] ; clk        ; 5.908 ; 5.866 ; Rise       ; clk             ;
923
;  cbus_addr_o[28] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
924
;  cbus_addr_o[29] ; clk        ; 5.903 ; 5.861 ; Rise       ; clk             ;
925
;  cbus_addr_o[30] ; clk        ; 5.935 ; 5.893 ; Rise       ; clk             ;
926
;  cbus_addr_o[31] ; clk        ; 5.871 ; 5.829 ; Rise       ; clk             ;
927
; cbus_cmd0_o[*]   ; clk        ; 7.909 ; 7.938 ; Rise       ; clk             ;
928
;  cbus_cmd0_o[0]  ; clk        ; 8.048 ; 8.062 ; Rise       ; clk             ;
929
;  cbus_cmd0_o[1]  ; clk        ; 8.047 ; 8.132 ; Rise       ; clk             ;
930
;  cbus_cmd0_o[2]  ; clk        ; 7.909 ; 7.938 ; Rise       ; clk             ;
931
; cbus_cmd1_o[*]   ; clk        ; 7.754 ; 7.673 ; Rise       ; clk             ;
932
;  cbus_cmd1_o[0]  ; clk        ; 8.327 ; 8.341 ; Rise       ; clk             ;
933
;  cbus_cmd1_o[1]  ; clk        ; 8.157 ; 8.168 ; Rise       ; clk             ;
934
;  cbus_cmd1_o[2]  ; clk        ; 7.754 ; 7.673 ; Rise       ; clk             ;
935
; cbus_cmd2_o[*]   ; clk        ; 8.073 ; 8.110 ; Rise       ; clk             ;
936
;  cbus_cmd2_o[0]  ; clk        ; 8.073 ; 8.110 ; Rise       ; clk             ;
937
;  cbus_cmd2_o[1]  ; clk        ; 8.265 ; 8.350 ; Rise       ; clk             ;
938
;  cbus_cmd2_o[2]  ; clk        ; 8.201 ; 8.117 ; Rise       ; clk             ;
939
; cbus_cmd3_o[*]   ; clk        ; 8.001 ; 7.986 ; Rise       ; clk             ;
940
;  cbus_cmd3_o[0]  ; clk        ; 8.164 ; 8.155 ; Rise       ; clk             ;
941
;  cbus_cmd3_o[1]  ; clk        ; 8.001 ; 7.986 ; Rise       ; clk             ;
942
;  cbus_cmd3_o[2]  ; clk        ; 8.011 ; 8.062 ; Rise       ; clk             ;
943
; mbus_ack0_o      ; clk        ; 5.876 ; 5.834 ; Rise       ; clk             ;
944
; mbus_ack1_o      ; clk        ; 5.882 ; 5.840 ; Rise       ; clk             ;
945
; mbus_ack2_o      ; clk        ; 5.917 ; 5.875 ; Rise       ; clk             ;
946
; mbus_ack3_o      ; clk        ; 5.923 ; 5.881 ; Rise       ; clk             ;
947
+------------------+------------+-------+-------+------------+-----------------+
948
 
949
 
950
----------------------------------------------
951
; Slow 1200mV 85C Model Metastability Report ;
952
----------------------------------------------
953
No synchronizer chains to report.
954
 
955
 
956
+--------------------------------------------------+
957
; Slow 1200mV 0C Model Fmax Summary                ;
958
+------------+-----------------+------------+------+
959
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
960
+------------+-----------------+------------+------+
961
; 119.23 MHz ; 119.23 MHz      ; clk        ;      ;
962
+------------+-----------------+------------+------+
963
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
964
 
965
 
966
+------------------------------------+
967
; Slow 1200mV 0C Model Setup Summary ;
968
+-------+--------+-------------------+
969
; Clock ; Slack  ; End Point TNS     ;
970
+-------+--------+-------------------+
971
; clk   ; -7.387 ; -2375.975         ;
972
+-------+--------+-------------------+
973
 
974
 
975
+-----------------------------------+
976
; Slow 1200mV 0C Model Hold Summary ;
977
+-------+--------+------------------+
978
; Clock ; Slack  ; End Point TNS    ;
979
+-------+--------+------------------+
980
; clk   ; -0.237 ; -0.279           ;
981
+-------+--------+------------------+
982
 
983
 
984
-----------------------------------------
985
; Slow 1200mV 0C Model Recovery Summary ;
986
-----------------------------------------
987
No paths to report.
988
 
989
 
990
----------------------------------------
991
; Slow 1200mV 0C Model Removal Summary ;
992
----------------------------------------
993
No paths to report.
994
 
995
 
996
+--------------------------------------------------+
997
; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
998
+-------+--------+---------------------------------+
999
; Clock ; Slack  ; End Point TNS                   ;
1000
+-------+--------+---------------------------------+
1001
; clk   ; -3.000 ; -643.000                        ;
1002
+-------+--------+---------------------------------+
1003
 
1004
 
1005
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1006
; Slow 1200mV 0C Model Setup: 'clk'                                                                                                                                                                                                                             ;
1007
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1008
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1009
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1010
; -7.387 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.418      ;
1011
; -7.387 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.418      ;
1012
; -7.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.380      ;
1013
; -7.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.380      ;
1014
; -7.324 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.356      ;
1015
; -7.314 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.346      ;
1016
; -7.308 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.339      ;
1017
; -7.308 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.339      ;
1018
; -7.305 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.336      ;
1019
; -7.305 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.336      ;
1020
; -7.294 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.325      ;
1021
; -7.294 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.325      ;
1022
; -7.274 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.306      ;
1023
; -7.261 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.292      ;
1024
; -7.258 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.289      ;
1025
; -7.256 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.287      ;
1026
; -7.241 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.272      ;
1027
; -7.241 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.272      ;
1028
; -7.240 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.271      ;
1029
; -7.220 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.251      ;
1030
; -7.208 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.239      ;
1031
; -7.190 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.221      ;
1032
; -7.179 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.210      ;
1033
; -7.176 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.207      ;
1034
; -7.170 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.201      ;
1035
; -7.170 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.201      ;
1036
; -7.165 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.196      ;
1037
; -7.141 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.172      ;
1038
; -7.141 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.173      ;
1039
; -7.137 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.168      ;
1040
; -7.116 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.148      ;
1041
; -7.113 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.145      ;
1042
; -7.112 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.143      ;
1043
; -7.103 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.134      ;
1044
; -7.102 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.133      ;
1045
; -7.102 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.133      ;
1046
; -7.099 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.130      ;
1047
; -7.098 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.129      ;
1048
; -7.096 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.127      ;
1049
; -7.096 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.127      ;
1050
; -7.065 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.096      ;
1051
; -7.065 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.096      ;
1052
; -7.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.095      ;
1053
; -7.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.095      ;
1054
; -7.062 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.093      ;
1055
; -7.060 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.092      ;
1056
; -7.059 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.090      ;
1057
; -7.048 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.079      ;
1058
; -7.032 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.064      ;
1059
; -7.027 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.058      ;
1060
; -7.027 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.058      ;
1061
; -7.019 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.050      ;
1062
; -6.998 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.029      ;
1063
; -6.998 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.029      ;
1064
; -6.995 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.026      ;
1065
; -6.988 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.019      ;
1066
; -6.988 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.019      ;
1067
; -6.973 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.004      ;
1068
; -6.968 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.999      ;
1069
; -6.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.995      ;
1070
; -6.949 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.980      ;
1071
; -6.942 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.973      ;
1072
; -6.936 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.967      ;
1073
; -6.935 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.966      ;
1074
; -6.932 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.963      ;
1075
; -6.928 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.959      ;
1076
; -6.921 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.952      ;
1077
; -6.915 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.946      ;
1078
; -6.908 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.940      ;
1079
; -6.906 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.937      ;
1080
; -6.898 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.929      ;
1081
; -6.869 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.900      ;
1082
; -6.859 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.890      ;
1083
; -6.856 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.887      ;
1084
; -6.855 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.886      ;
1085
; -6.840 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.872      ;
1086
; -6.828 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.860      ;
1087
; -6.826 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.857      ;
1088
; -6.819 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.850      ;
1089
; -6.818 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.849      ;
1090
; -6.816 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.847      ;
1091
; -6.795 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.827      ;
1092
; -6.783 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.814      ;
1093
; -6.781 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.812      ;
1094
; -6.762 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.794      ;
1095
; -6.752 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.783      ;
1096
; -6.747 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.778      ;
1097
; -6.736 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.768      ;
1098
; -6.736 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.767      ;
1099
; -6.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.755      ;
1100
; -6.659 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.691      ;
1101
; -6.652 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.684      ;
1102
; -6.599 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.631      ;
1103
; -6.504 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.536      ;
1104
; -6.487 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.519      ;
1105
; -6.343 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.375      ;
1106
; -5.625 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.115     ; 6.413      ;
1107
; -5.610 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.115     ; 6.398      ;
1108
; -5.578 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[27] ; clk          ; clk         ; 1.000        ; -0.113     ; 6.368      ;
1109
; -5.574 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.111     ; 6.366      ;
1110
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1111
 
1112
 
1113
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1114
; Slow 1200mV 0C Model Hold: 'clk'                                                                                                                                                                                           ;
1115
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1116
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1117
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1118
; -0.237 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.858      ; 2.665      ;
1119
; -0.028 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 2.842      ; 2.858      ;
1120
; -0.014 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 2.848      ; 2.878      ;
1121
; 0.003  ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 2.864      ; 2.911      ;
1122
; 0.003  ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 2.864      ; 2.911      ;
1123
; 0.021  ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 2.901      ;
1124
; 0.022  ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 2.902      ;
1125
; 0.029  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.901      ;
1126
; 0.032  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 2.797      ; 2.873      ;
1127
; 0.033  ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.905      ;
1128
; 0.036  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.908      ;
1129
; 0.042  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.817      ; 2.903      ;
1130
; 0.043  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 2.817      ; 2.904      ;
1131
; 0.046  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 2.818      ; 2.908      ;
1132
; 0.050  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 2.824      ; 2.918      ;
1133
; 0.050  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.817      ; 2.911      ;
1134
; 0.056  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.920      ;
1135
; 0.059  ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 2.792      ; 2.895      ;
1136
; 0.060  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 2.862      ; 2.966      ;
1137
; 0.064  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.936      ;
1138
; 0.066  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.872      ; 2.982      ;
1139
; 0.069  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 2.942      ;
1140
; 0.073  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 2.938      ;
1141
; 0.074  ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.871      ; 2.989      ;
1142
; 0.085  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.001      ;
1143
; 0.091  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 2.817      ; 2.952      ;
1144
; 0.093  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 2.957      ;
1145
; 0.095  ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.804      ; 2.943      ;
1146
; 0.098  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.962      ;
1147
; 0.099  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 2.842      ; 2.985      ;
1148
; 0.105  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 2.814      ; 2.963      ;
1149
; 0.109  ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.803      ; 2.956      ;
1150
; 0.109  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 2.982      ;
1151
; 0.111  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 2.834      ; 2.989      ;
1152
; 0.114  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.978      ;
1153
; 0.117  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 2.797      ; 2.958      ;
1154
; 0.117  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 2.815      ; 2.976      ;
1155
; 0.119  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 2.818      ; 2.981      ;
1156
; 0.121  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.794      ; 2.959      ;
1157
; 0.122  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 2.987      ;
1158
; 0.122  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 2.968      ;
1159
; 0.124  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]                                          ; clk          ; clk         ; 0.000        ; 2.803      ; 2.971      ;
1160
; 0.126  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.838      ; 3.008      ;
1161
; 0.129  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.008      ;
1162
; 0.130  ; mbus_addr3_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 2.786      ; 2.960      ;
1163
; 0.130  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 2.822      ; 2.996      ;
1164
; 0.131  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[19]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 2.995      ;
1165
; 0.133  ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.049      ;
1166
; 0.136  ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 3.008      ;
1167
; 0.136  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 3.009      ;
1168
; 0.137  ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 2.848      ; 3.029      ;
1169
; 0.140  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 2.798      ; 2.982      ;
1170
; 0.141  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 2.832      ; 3.017      ;
1171
; 0.142  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]                                          ; clk          ; clk         ; 0.000        ; 2.819      ; 3.005      ;
1172
; 0.143  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.059      ;
1173
; 0.146  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 2.799      ; 2.989      ;
1174
; 0.147  ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.011      ;
1175
; 0.147  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 2.783      ; 2.974      ;
1176
; 0.147  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 3.011      ;
1177
; 0.150  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 2.996      ;
1178
; 0.150  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 3.030      ;
1179
; 0.151  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 2.797      ; 2.992      ;
1180
; 0.151  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.016      ;
1181
; 0.154  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.793      ; 2.991      ;
1182
; 0.155  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 2.802      ; 3.001      ;
1183
; 0.156  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 2.833      ; 3.033      ;
1184
; 0.158  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 2.848      ; 3.050      ;
1185
; 0.159  ; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 2.824      ; 3.027      ;
1186
; 0.160  ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 3.032      ;
1187
; 0.160  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 2.838      ; 3.042      ;
1188
; 0.160  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.045      ;
1189
; 0.164  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 2.848      ; 3.056      ;
1190
; 0.166  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 2.862      ; 3.072      ;
1191
; 0.166  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[34]                                            ; clk          ; clk         ; 0.000        ; 2.835      ; 3.045      ;
1192
; 0.169  ; mbus_addr0_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.034      ;
1193
; 0.171  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 2.809      ; 3.024      ;
1194
; 0.172  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.036      ;
1195
; 0.172  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.088      ;
1196
; 0.172  ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.051      ;
1197
; 0.173  ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][16]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.052      ;
1198
; 0.174  ; mbus_addr0_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 2.792      ; 3.010      ;
1199
; 0.174  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 2.830      ; 2.993      ;
1200
; 0.174  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 3.020      ;
1201
; 0.174  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 2.799      ; 3.017      ;
1202
; 0.174  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[32]                                            ; clk          ; clk         ; 0.000        ; 2.832      ; 3.050      ;
1203
; 0.175  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 2.814      ; 3.033      ;
1204
; 0.176  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]                                            ; clk          ; clk         ; 0.000        ; 2.816      ; 3.036      ;
1205
; 0.177  ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 3.050      ;
1206
; 0.178  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]                                          ; clk          ; clk         ; 0.000        ; 2.819      ; 3.041      ;
1207
; 0.179  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]                                            ; clk          ; clk         ; 0.000        ; 2.819      ; 3.042      ;
1208
; 0.184  ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.069      ;
1209
; 0.185  ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.853      ; 3.082      ;
1210
; 0.185  ; mbus_addr0_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 2.804      ; 3.033      ;
1211
; 0.186  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 2.793      ; 3.023      ;
1212
; 0.189  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.053      ;
1213
; 0.191  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 2.781      ; 3.016      ;
1214
; 0.191  ; mbus_addr2_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.056      ;
1215
; 0.191  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 2.833      ; 3.068      ;
1216
; 0.192  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[17]                                            ; clk          ; clk         ; 0.000        ; 2.802      ; 3.038      ;
1217
; 0.192  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.077      ;
1218
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1219
 
1220
 
1221
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
1222
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk'                                                                                                            ;
1223
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
1224
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
1225
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
1226
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
1227
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
1228
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
1229
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
1230
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
1231
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
1232
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
1233
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
1234
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
1235
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
1236
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
1237
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
1238
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
1239
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
1240
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
1241
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
1242
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
1243
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
1244
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
1245
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
1246
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
1247
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
1248
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
1249
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
1250
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
1251
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
1252
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
1253
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
1254
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
1255
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
1256
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
1257
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
1258
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
1259
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
1260
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
1261
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
1262
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
1263
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
1264
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
1265
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
1266
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
1267
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
1268
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
1269
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
1270
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
1271
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
1272
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
1273
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
1274
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
1275
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
1276
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
1277
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
1278
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
1279
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
1280
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
1281
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
1282
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
1283
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
1284
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
1285
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
1286
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
1287
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
1288
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
1289
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
1290
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
1291
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
1292
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
1293
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
1294
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
1295
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
1296
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
1297
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
1298
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
1299
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
1300
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
1301
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
1302
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
1303
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
1304
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
1305
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
1306
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
1307
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
1308
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
1309
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
1310
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
1311
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
1312
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
1313
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
1314
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
1315
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
1316
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
1317
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
1318
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
1319
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
1320
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
1321
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
1322
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
1323
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
1324
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
1325
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
1326
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
1327
 
1328
 
1329
+-------------------------------------------------------------------------------+
1330
; Setup Times                                                                   ;
1331
+-------------------+------------+-------+-------+------------+-----------------+
1332
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
1333
+-------------------+------------+-------+-------+------------+-----------------+
1334
; cbus_ack0_i       ; clk        ; 0.487 ; 0.701 ; Rise       ; clk             ;
1335
; cbus_ack1_i       ; clk        ; 0.610 ; 0.742 ; Rise       ; clk             ;
1336
; cbus_ack2_i       ; clk        ; 0.764 ; 0.796 ; Rise       ; clk             ;
1337
; cbus_ack3_i       ; clk        ; 0.690 ; 0.731 ; Rise       ; clk             ;
1338
; mbus_addr0_i[*]   ; clk        ; 0.730 ; 0.820 ; Rise       ; clk             ;
1339
;  mbus_addr0_i[0]  ; clk        ; 0.644 ; 0.774 ; Rise       ; clk             ;
1340
;  mbus_addr0_i[1]  ; clk        ; 0.558 ; 0.701 ; Rise       ; clk             ;
1341
;  mbus_addr0_i[2]  ; clk        ; 0.651 ; 0.799 ; Rise       ; clk             ;
1342
;  mbus_addr0_i[3]  ; clk        ; 0.611 ; 0.755 ; Rise       ; clk             ;
1343
;  mbus_addr0_i[4]  ; clk        ; 0.660 ; 0.815 ; Rise       ; clk             ;
1344
;  mbus_addr0_i[5]  ; clk        ; 0.583 ; 0.728 ; Rise       ; clk             ;
1345
;  mbus_addr0_i[6]  ; clk        ; 0.630 ; 0.775 ; Rise       ; clk             ;
1346
;  mbus_addr0_i[7]  ; clk        ; 0.493 ; 0.766 ; Rise       ; clk             ;
1347
;  mbus_addr0_i[8]  ; clk        ; 0.509 ; 0.780 ; Rise       ; clk             ;
1348
;  mbus_addr0_i[9]  ; clk        ; 0.608 ; 0.756 ; Rise       ; clk             ;
1349
;  mbus_addr0_i[10] ; clk        ; 0.626 ; 0.768 ; Rise       ; clk             ;
1350
;  mbus_addr0_i[11] ; clk        ; 0.690 ; 0.780 ; Rise       ; clk             ;
1351
;  mbus_addr0_i[12] ; clk        ; 0.431 ; 0.651 ; Rise       ; clk             ;
1352
;  mbus_addr0_i[13] ; clk        ; 0.603 ; 0.692 ; Rise       ; clk             ;
1353
;  mbus_addr0_i[14] ; clk        ; 0.618 ; 0.771 ; Rise       ; clk             ;
1354
;  mbus_addr0_i[15] ; clk        ; 0.485 ; 0.767 ; Rise       ; clk             ;
1355
;  mbus_addr0_i[16] ; clk        ; 0.569 ; 0.706 ; Rise       ; clk             ;
1356
;  mbus_addr0_i[17] ; clk        ; 0.730 ; 0.820 ; Rise       ; clk             ;
1357
;  mbus_addr0_i[18] ; clk        ; 0.631 ; 0.795 ; Rise       ; clk             ;
1358
;  mbus_addr0_i[19] ; clk        ; 0.611 ; 0.760 ; Rise       ; clk             ;
1359
;  mbus_addr0_i[20] ; clk        ; 0.579 ; 0.729 ; Rise       ; clk             ;
1360
;  mbus_addr0_i[21] ; clk        ; 0.425 ; 0.658 ; Rise       ; clk             ;
1361
;  mbus_addr0_i[22] ; clk        ; 0.396 ; 0.625 ; Rise       ; clk             ;
1362
;  mbus_addr0_i[23] ; clk        ; 0.627 ; 0.773 ; Rise       ; clk             ;
1363
;  mbus_addr0_i[24] ; clk        ; 0.637 ; 0.785 ; Rise       ; clk             ;
1364
;  mbus_addr0_i[25] ; clk        ; 0.543 ; 0.735 ; Rise       ; clk             ;
1365
;  mbus_addr0_i[26] ; clk        ; 0.521 ; 0.713 ; Rise       ; clk             ;
1366
;  mbus_addr0_i[27] ; clk        ; 0.650 ; 0.807 ; Rise       ; clk             ;
1367
;  mbus_addr0_i[28] ; clk        ; 0.565 ; 0.690 ; Rise       ; clk             ;
1368
;  mbus_addr0_i[29] ; clk        ; 0.422 ; 0.644 ; Rise       ; clk             ;
1369
;  mbus_addr0_i[30] ; clk        ; 0.489 ; 0.758 ; Rise       ; clk             ;
1370
;  mbus_addr0_i[31] ; clk        ; 0.529 ; 0.681 ; Rise       ; clk             ;
1371
; mbus_addr1_i[*]   ; clk        ; 0.890 ; 0.976 ; Rise       ; clk             ;
1372
;  mbus_addr1_i[0]  ; clk        ; 0.549 ; 0.661 ; Rise       ; clk             ;
1373
;  mbus_addr1_i[1]  ; clk        ; 0.638 ; 0.808 ; Rise       ; clk             ;
1374
;  mbus_addr1_i[2]  ; clk        ; 0.543 ; 0.715 ; Rise       ; clk             ;
1375
;  mbus_addr1_i[3]  ; clk        ; 0.644 ; 0.797 ; Rise       ; clk             ;
1376
;  mbus_addr1_i[4]  ; clk        ; 0.497 ; 0.733 ; Rise       ; clk             ;
1377
;  mbus_addr1_i[5]  ; clk        ; 0.461 ; 0.705 ; Rise       ; clk             ;
1378
;  mbus_addr1_i[6]  ; clk        ; 0.715 ; 0.841 ; Rise       ; clk             ;
1379
;  mbus_addr1_i[7]  ; clk        ; 0.549 ; 0.801 ; Rise       ; clk             ;
1380
;  mbus_addr1_i[8]  ; clk        ; 0.549 ; 0.710 ; Rise       ; clk             ;
1381
;  mbus_addr1_i[9]  ; clk        ; 0.438 ; 0.667 ; Rise       ; clk             ;
1382
;  mbus_addr1_i[10] ; clk        ; 0.376 ; 0.620 ; Rise       ; clk             ;
1383
;  mbus_addr1_i[11] ; clk        ; 0.589 ; 0.749 ; Rise       ; clk             ;
1384
;  mbus_addr1_i[12] ; clk        ; 0.325 ; 0.529 ; Rise       ; clk             ;
1385
;  mbus_addr1_i[13] ; clk        ; 0.547 ; 0.704 ; Rise       ; clk             ;
1386
;  mbus_addr1_i[14] ; clk        ; 0.642 ; 0.747 ; Rise       ; clk             ;
1387
;  mbus_addr1_i[15] ; clk        ; 0.810 ; 0.941 ; Rise       ; clk             ;
1388
;  mbus_addr1_i[16] ; clk        ; 0.535 ; 0.696 ; Rise       ; clk             ;
1389
;  mbus_addr1_i[17] ; clk        ; 0.582 ; 0.728 ; Rise       ; clk             ;
1390
;  mbus_addr1_i[18] ; clk        ; 0.625 ; 0.767 ; Rise       ; clk             ;
1391
;  mbus_addr1_i[19] ; clk        ; 0.617 ; 0.720 ; Rise       ; clk             ;
1392
;  mbus_addr1_i[20] ; clk        ; 0.559 ; 0.729 ; Rise       ; clk             ;
1393
;  mbus_addr1_i[21] ; clk        ; 0.639 ; 0.725 ; Rise       ; clk             ;
1394
;  mbus_addr1_i[22] ; clk        ; 0.491 ; 0.627 ; Rise       ; clk             ;
1395
;  mbus_addr1_i[23] ; clk        ; 0.421 ; 0.649 ; Rise       ; clk             ;
1396
;  mbus_addr1_i[24] ; clk        ; 0.528 ; 0.681 ; Rise       ; clk             ;
1397
;  mbus_addr1_i[25] ; clk        ; 0.890 ; 0.976 ; Rise       ; clk             ;
1398
;  mbus_addr1_i[26] ; clk        ; 0.475 ; 0.709 ; Rise       ; clk             ;
1399
;  mbus_addr1_i[27] ; clk        ; 0.451 ; 0.619 ; Rise       ; clk             ;
1400
;  mbus_addr1_i[28] ; clk        ; 0.453 ; 0.574 ; Rise       ; clk             ;
1401
;  mbus_addr1_i[29] ; clk        ; 0.641 ; 0.792 ; Rise       ; clk             ;
1402
;  mbus_addr1_i[30] ; clk        ; 0.542 ; 0.723 ; Rise       ; clk             ;
1403
;  mbus_addr1_i[31] ; clk        ; 0.628 ; 0.782 ; Rise       ; clk             ;
1404
; mbus_addr2_i[*]   ; clk        ; 0.799 ; 0.946 ; Rise       ; clk             ;
1405
;  mbus_addr2_i[0]  ; clk        ; 0.560 ; 0.757 ; Rise       ; clk             ;
1406
;  mbus_addr2_i[1]  ; clk        ; 0.588 ; 0.726 ; Rise       ; clk             ;
1407
;  mbus_addr2_i[2]  ; clk        ; 0.568 ; 0.710 ; Rise       ; clk             ;
1408
;  mbus_addr2_i[3]  ; clk        ; 0.363 ; 0.604 ; Rise       ; clk             ;
1409
;  mbus_addr2_i[4]  ; clk        ; 0.391 ; 0.674 ; Rise       ; clk             ;
1410
;  mbus_addr2_i[5]  ; clk        ; 0.408 ; 0.658 ; Rise       ; clk             ;
1411
;  mbus_addr2_i[6]  ; clk        ; 0.470 ; 0.637 ; Rise       ; clk             ;
1412
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.634 ; Rise       ; clk             ;
1413
;  mbus_addr2_i[8]  ; clk        ; 0.437 ; 0.677 ; Rise       ; clk             ;
1414
;  mbus_addr2_i[9]  ; clk        ; 0.398 ; 0.621 ; Rise       ; clk             ;
1415
;  mbus_addr2_i[10] ; clk        ; 0.678 ; 0.831 ; Rise       ; clk             ;
1416
;  mbus_addr2_i[11] ; clk        ; 0.613 ; 0.769 ; Rise       ; clk             ;
1417
;  mbus_addr2_i[12] ; clk        ; 0.472 ; 0.750 ; Rise       ; clk             ;
1418
;  mbus_addr2_i[13] ; clk        ; 0.497 ; 0.742 ; Rise       ; clk             ;
1419
;  mbus_addr2_i[14] ; clk        ; 0.524 ; 0.680 ; Rise       ; clk             ;
1420
;  mbus_addr2_i[15] ; clk        ; 0.538 ; 0.712 ; Rise       ; clk             ;
1421
;  mbus_addr2_i[16] ; clk        ; 0.661 ; 0.778 ; Rise       ; clk             ;
1422
;  mbus_addr2_i[17] ; clk        ; 0.452 ; 0.685 ; Rise       ; clk             ;
1423
;  mbus_addr2_i[18] ; clk        ; 0.495 ; 0.705 ; Rise       ; clk             ;
1424
;  mbus_addr2_i[19] ; clk        ; 0.510 ; 0.732 ; Rise       ; clk             ;
1425
;  mbus_addr2_i[20] ; clk        ; 0.559 ; 0.585 ; Rise       ; clk             ;
1426
;  mbus_addr2_i[21] ; clk        ; 0.572 ; 0.723 ; Rise       ; clk             ;
1427
;  mbus_addr2_i[22] ; clk        ; 0.427 ; 0.654 ; Rise       ; clk             ;
1428
;  mbus_addr2_i[23] ; clk        ; 0.605 ; 0.768 ; Rise       ; clk             ;
1429
;  mbus_addr2_i[24] ; clk        ; 0.509 ; 0.648 ; Rise       ; clk             ;
1430
;  mbus_addr2_i[25] ; clk        ; 0.411 ; 0.690 ; Rise       ; clk             ;
1431
;  mbus_addr2_i[26] ; clk        ; 0.545 ; 0.716 ; Rise       ; clk             ;
1432
;  mbus_addr2_i[27] ; clk        ; 0.799 ; 0.946 ; Rise       ; clk             ;
1433
;  mbus_addr2_i[28] ; clk        ; 0.471 ; 0.717 ; Rise       ; clk             ;
1434
;  mbus_addr2_i[29] ; clk        ; 0.605 ; 0.774 ; Rise       ; clk             ;
1435
;  mbus_addr2_i[30] ; clk        ; 0.564 ; 0.688 ; Rise       ; clk             ;
1436
;  mbus_addr2_i[31] ; clk        ; 0.693 ; 0.869 ; Rise       ; clk             ;
1437
; mbus_addr3_i[*]   ; clk        ; 0.786 ; 0.939 ; Rise       ; clk             ;
1438
;  mbus_addr3_i[0]  ; clk        ; 0.629 ; 0.777 ; Rise       ; clk             ;
1439
;  mbus_addr3_i[1]  ; clk        ; 0.581 ; 0.714 ; Rise       ; clk             ;
1440
;  mbus_addr3_i[2]  ; clk        ; 0.621 ; 0.787 ; Rise       ; clk             ;
1441
;  mbus_addr3_i[3]  ; clk        ; 0.672 ; 0.762 ; Rise       ; clk             ;
1442
;  mbus_addr3_i[4]  ; clk        ; 0.669 ; 0.812 ; Rise       ; clk             ;
1443
;  mbus_addr3_i[5]  ; clk        ; 0.509 ; 0.737 ; Rise       ; clk             ;
1444
;  mbus_addr3_i[6]  ; clk        ; 0.570 ; 0.728 ; Rise       ; clk             ;
1445
;  mbus_addr3_i[7]  ; clk        ; 0.786 ; 0.939 ; Rise       ; clk             ;
1446
;  mbus_addr3_i[8]  ; clk        ; 0.591 ; 0.749 ; Rise       ; clk             ;
1447
;  mbus_addr3_i[9]  ; clk        ; 0.619 ; 0.730 ; Rise       ; clk             ;
1448
;  mbus_addr3_i[10] ; clk        ; 0.608 ; 0.780 ; Rise       ; clk             ;
1449
;  mbus_addr3_i[11] ; clk        ; 0.662 ; 0.792 ; Rise       ; clk             ;
1450
;  mbus_addr3_i[12] ; clk        ; 0.504 ; 0.757 ; Rise       ; clk             ;
1451
;  mbus_addr3_i[13] ; clk        ; 0.614 ; 0.721 ; Rise       ; clk             ;
1452
;  mbus_addr3_i[14] ; clk        ; 0.519 ; 0.705 ; Rise       ; clk             ;
1453
;  mbus_addr3_i[15] ; clk        ; 0.562 ; 0.701 ; Rise       ; clk             ;
1454
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.782 ; Rise       ; clk             ;
1455
;  mbus_addr3_i[17] ; clk        ; 0.577 ; 0.740 ; Rise       ; clk             ;
1456
;  mbus_addr3_i[18] ; clk        ; 0.655 ; 0.803 ; Rise       ; clk             ;
1457
;  mbus_addr3_i[19] ; clk        ; 0.634 ; 0.841 ; Rise       ; clk             ;
1458
;  mbus_addr3_i[20] ; clk        ; 0.615 ; 0.773 ; Rise       ; clk             ;
1459
;  mbus_addr3_i[21] ; clk        ; 0.555 ; 0.703 ; Rise       ; clk             ;
1460
;  mbus_addr3_i[22] ; clk        ; 0.647 ; 0.706 ; Rise       ; clk             ;
1461
;  mbus_addr3_i[23] ; clk        ; 0.504 ; 0.748 ; Rise       ; clk             ;
1462
;  mbus_addr3_i[24] ; clk        ; 0.603 ; 0.781 ; Rise       ; clk             ;
1463
;  mbus_addr3_i[25] ; clk        ; 0.486 ; 0.704 ; Rise       ; clk             ;
1464
;  mbus_addr3_i[26] ; clk        ; 0.587 ; 0.851 ; Rise       ; clk             ;
1465
;  mbus_addr3_i[27] ; clk        ; 0.716 ; 0.829 ; Rise       ; clk             ;
1466
;  mbus_addr3_i[28] ; clk        ; 0.586 ; 0.728 ; Rise       ; clk             ;
1467
;  mbus_addr3_i[29] ; clk        ; 0.730 ; 0.856 ; Rise       ; clk             ;
1468
;  mbus_addr3_i[30] ; clk        ; 0.482 ; 0.708 ; Rise       ; clk             ;
1469
;  mbus_addr3_i[31] ; clk        ; 0.516 ; 0.789 ; Rise       ; clk             ;
1470
; mbus_cmd0_i[*]    ; clk        ; 0.700 ; 0.839 ; Rise       ; clk             ;
1471
;  mbus_cmd0_i[0]   ; clk        ; 0.638 ; 0.770 ; Rise       ; clk             ;
1472
;  mbus_cmd0_i[1]   ; clk        ; 0.700 ; 0.839 ; Rise       ; clk             ;
1473
;  mbus_cmd0_i[2]   ; clk        ; 0.601 ; 0.684 ; Rise       ; clk             ;
1474
; mbus_cmd1_i[*]    ; clk        ; 0.755 ; 0.959 ; Rise       ; clk             ;
1475
;  mbus_cmd1_i[0]   ; clk        ; 0.686 ; 0.908 ; Rise       ; clk             ;
1476
;  mbus_cmd1_i[1]   ; clk        ; 0.533 ; 0.768 ; Rise       ; clk             ;
1477
;  mbus_cmd1_i[2]   ; clk        ; 0.755 ; 0.959 ; Rise       ; clk             ;
1478
; mbus_cmd2_i[*]    ; clk        ; 0.599 ; 0.688 ; Rise       ; clk             ;
1479
;  mbus_cmd2_i[0]   ; clk        ; 0.583 ; 0.676 ; Rise       ; clk             ;
1480
;  mbus_cmd2_i[1]   ; clk        ; 0.585 ; 0.688 ; Rise       ; clk             ;
1481
;  mbus_cmd2_i[2]   ; clk        ; 0.599 ; 0.685 ; Rise       ; clk             ;
1482
; mbus_cmd3_i[*]    ; clk        ; 0.629 ; 0.808 ; Rise       ; clk             ;
1483
;  mbus_cmd3_i[0]   ; clk        ; 0.558 ; 0.784 ; Rise       ; clk             ;
1484
;  mbus_cmd3_i[1]   ; clk        ; 0.619 ; 0.752 ; Rise       ; clk             ;
1485
;  mbus_cmd3_i[2]   ; clk        ; 0.629 ; 0.808 ; Rise       ; clk             ;
1486
+-------------------+------------+-------+-------+------------+-----------------+
1487
 
1488
 
1489
+---------------------------------------------------------------------------------+
1490
; Hold Times                                                                      ;
1491
+-------------------+------------+--------+--------+------------+-----------------+
1492
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
1493
+-------------------+------------+--------+--------+------------+-----------------+
1494
; cbus_ack0_i       ; clk        ; -0.037 ; -0.198 ; Rise       ; clk             ;
1495
; cbus_ack1_i       ; clk        ; -0.133 ; -0.265 ; Rise       ; clk             ;
1496
; cbus_ack2_i       ; clk        ; -0.205 ; -0.359 ; Rise       ; clk             ;
1497
; cbus_ack3_i       ; clk        ; -0.152 ; -0.170 ; Rise       ; clk             ;
1498
; mbus_addr0_i[*]   ; clk        ; 0.097  ; -0.103 ; Rise       ; clk             ;
1499
;  mbus_addr0_i[0]  ; clk        ; -0.211 ; -0.372 ; Rise       ; clk             ;
1500
;  mbus_addr0_i[1]  ; clk        ; -0.128 ; -0.265 ; Rise       ; clk             ;
1501
;  mbus_addr0_i[2]  ; clk        ; -0.134 ; -0.265 ; Rise       ; clk             ;
1502
;  mbus_addr0_i[3]  ; clk        ; -0.133 ; -0.267 ; Rise       ; clk             ;
1503
;  mbus_addr0_i[4]  ; clk        ; -0.137 ; -0.296 ; Rise       ; clk             ;
1504
;  mbus_addr0_i[5]  ; clk        ; -0.194 ; -0.338 ; Rise       ; clk             ;
1505
;  mbus_addr0_i[6]  ; clk        ; -0.219 ; -0.358 ; Rise       ; clk             ;
1506
;  mbus_addr0_i[7]  ; clk        ; 0.026  ; -0.200 ; Rise       ; clk             ;
1507
;  mbus_addr0_i[8]  ; clk        ; 0.068  ; -0.171 ; Rise       ; clk             ;
1508
;  mbus_addr0_i[9]  ; clk        ; -0.112 ; -0.241 ; Rise       ; clk             ;
1509
;  mbus_addr0_i[10] ; clk        ; -0.196 ; -0.330 ; Rise       ; clk             ;
1510
;  mbus_addr0_i[11] ; clk        ; -0.203 ; -0.325 ; Rise       ; clk             ;
1511
;  mbus_addr0_i[12] ; clk        ; -0.021 ; -0.251 ; Rise       ; clk             ;
1512
;  mbus_addr0_i[13] ; clk        ; -0.145 ; -0.250 ; Rise       ; clk             ;
1513
;  mbus_addr0_i[14] ; clk        ; -0.175 ; -0.319 ; Rise       ; clk             ;
1514
;  mbus_addr0_i[15] ; clk        ; 0.041  ; -0.201 ; Rise       ; clk             ;
1515
;  mbus_addr0_i[16] ; clk        ; -0.113 ; -0.268 ; Rise       ; clk             ;
1516
;  mbus_addr0_i[17] ; clk        ; -0.259 ; -0.363 ; Rise       ; clk             ;
1517
;  mbus_addr0_i[18] ; clk        ; -0.141 ; -0.219 ; Rise       ; clk             ;
1518
;  mbus_addr0_i[19] ; clk        ; -0.069 ; -0.222 ; Rise       ; clk             ;
1519
;  mbus_addr0_i[20] ; clk        ; -0.078 ; -0.215 ; Rise       ; clk             ;
1520
;  mbus_addr0_i[21] ; clk        ; 0.027  ; -0.181 ; Rise       ; clk             ;
1521
;  mbus_addr0_i[22] ; clk        ; -0.017 ; -0.231 ; Rise       ; clk             ;
1522
;  mbus_addr0_i[23] ; clk        ; -0.156 ; -0.291 ; Rise       ; clk             ;
1523
;  mbus_addr0_i[24] ; clk        ; -0.249 ; -0.372 ; Rise       ; clk             ;
1524
;  mbus_addr0_i[25] ; clk        ; -0.085 ; -0.237 ; Rise       ; clk             ;
1525
;  mbus_addr0_i[26] ; clk        ; -0.074 ; -0.256 ; Rise       ; clk             ;
1526
;  mbus_addr0_i[27] ; clk        ; -0.110 ; -0.266 ; Rise       ; clk             ;
1527
;  mbus_addr0_i[28] ; clk        ; -0.109 ; -0.251 ; Rise       ; clk             ;
1528
;  mbus_addr0_i[29] ; clk        ; -0.024 ; -0.257 ; Rise       ; clk             ;
1529
;  mbus_addr0_i[30] ; clk        ; 0.097  ; -0.103 ; Rise       ; clk             ;
1530
;  mbus_addr0_i[31] ; clk        ; -0.149 ; -0.301 ; Rise       ; clk             ;
1531
; mbus_addr1_i[*]   ; clk        ; 0.337  ; 0.156  ; Rise       ; clk             ;
1532
;  mbus_addr1_i[0]  ; clk        ; -0.139 ; -0.242 ; Rise       ; clk             ;
1533
;  mbus_addr1_i[1]  ; clk        ; -0.169 ; -0.320 ; Rise       ; clk             ;
1534
;  mbus_addr1_i[2]  ; clk        ; -0.166 ; -0.323 ; Rise       ; clk             ;
1535
;  mbus_addr1_i[3]  ; clk        ; -0.180 ; -0.331 ; Rise       ; clk             ;
1536
;  mbus_addr1_i[4]  ; clk        ; 0.067  ; -0.126 ; Rise       ; clk             ;
1537
;  mbus_addr1_i[5]  ; clk        ; 0.079  ; -0.146 ; Rise       ; clk             ;
1538
;  mbus_addr1_i[6]  ; clk        ; -0.202 ; -0.324 ; Rise       ; clk             ;
1539
;  mbus_addr1_i[7]  ; clk        ; 0.337  ; 0.156  ; Rise       ; clk             ;
1540
;  mbus_addr1_i[8]  ; clk        ; -0.139 ; -0.286 ; Rise       ; clk             ;
1541
;  mbus_addr1_i[9]  ; clk        ; -0.009 ; -0.191 ; Rise       ; clk             ;
1542
;  mbus_addr1_i[10] ; clk        ; 0.002  ; -0.183 ; Rise       ; clk             ;
1543
;  mbus_addr1_i[11] ; clk        ; -0.143 ; -0.245 ; Rise       ; clk             ;
1544
;  mbus_addr1_i[12] ; clk        ; 0.064  ; -0.160 ; Rise       ; clk             ;
1545
;  mbus_addr1_i[13] ; clk        ; -0.141 ; -0.287 ; Rise       ; clk             ;
1546
;  mbus_addr1_i[14] ; clk        ; -0.150 ; -0.266 ; Rise       ; clk             ;
1547
;  mbus_addr1_i[15] ; clk        ; -0.136 ; -0.264 ; Rise       ; clk             ;
1548
;  mbus_addr1_i[16] ; clk        ; -0.131 ; -0.279 ; Rise       ; clk             ;
1549
;  mbus_addr1_i[17] ; clk        ; -0.205 ; -0.357 ; Rise       ; clk             ;
1550
;  mbus_addr1_i[18] ; clk        ; -0.179 ; -0.327 ; Rise       ; clk             ;
1551
;  mbus_addr1_i[19] ; clk        ; -0.206 ; -0.308 ; Rise       ; clk             ;
1552
;  mbus_addr1_i[20] ; clk        ; -0.107 ; -0.251 ; Rise       ; clk             ;
1553
;  mbus_addr1_i[21] ; clk        ; -0.253 ; -0.350 ; Rise       ; clk             ;
1554
;  mbus_addr1_i[22] ; clk        ; -0.093 ; -0.173 ; Rise       ; clk             ;
1555
;  mbus_addr1_i[23] ; clk        ; -0.041 ; -0.260 ; Rise       ; clk             ;
1556
;  mbus_addr1_i[24] ; clk        ; -0.119 ; -0.268 ; Rise       ; clk             ;
1557
;  mbus_addr1_i[25] ; clk        ; -0.127 ; -0.196 ; Rise       ; clk             ;
1558
;  mbus_addr1_i[26] ; clk        ; -0.059 ; -0.291 ; Rise       ; clk             ;
1559
;  mbus_addr1_i[27] ; clk        ; 0.071  ; -0.100 ; Rise       ; clk             ;
1560
;  mbus_addr1_i[28] ; clk        ; -0.075 ; -0.203 ; Rise       ; clk             ;
1561
;  mbus_addr1_i[29] ; clk        ; -0.164 ; -0.252 ; Rise       ; clk             ;
1562
;  mbus_addr1_i[30] ; clk        ; -0.005 ; -0.170 ; Rise       ; clk             ;
1563
;  mbus_addr1_i[31] ; clk        ; -0.060 ; -0.188 ; Rise       ; clk             ;
1564
; mbus_addr2_i[*]   ; clk        ; 0.128  ; -0.157 ; Rise       ; clk             ;
1565
;  mbus_addr2_i[0]  ; clk        ; -0.128 ; -0.233 ; Rise       ; clk             ;
1566
;  mbus_addr2_i[1]  ; clk        ; -0.197 ; -0.324 ; Rise       ; clk             ;
1567
;  mbus_addr2_i[2]  ; clk        ; -0.089 ; -0.249 ; Rise       ; clk             ;
1568
;  mbus_addr2_i[3]  ; clk        ; 0.044  ; -0.211 ; Rise       ; clk             ;
1569
;  mbus_addr2_i[4]  ; clk        ; 0.054  ; -0.234 ; Rise       ; clk             ;
1570
;  mbus_addr2_i[5]  ; clk        ; -0.026 ; -0.269 ; Rise       ; clk             ;
1571
;  mbus_addr2_i[6]  ; clk        ; -0.072 ; -0.226 ; Rise       ; clk             ;
1572
;  mbus_addr2_i[7]  ; clk        ; -0.108 ; -0.167 ; Rise       ; clk             ;
1573
;  mbus_addr2_i[8]  ; clk        ; -0.074 ; -0.274 ; Rise       ; clk             ;
1574
;  mbus_addr2_i[9]  ; clk        ; -0.022 ; -0.250 ; Rise       ; clk             ;
1575
;  mbus_addr2_i[10] ; clk        ; -0.172 ; -0.337 ; Rise       ; clk             ;
1576
;  mbus_addr2_i[11] ; clk        ; -0.188 ; -0.347 ; Rise       ; clk             ;
1577
;  mbus_addr2_i[12] ; clk        ; 0.036  ; -0.253 ; Rise       ; clk             ;
1578
;  mbus_addr2_i[13] ; clk        ; -0.108 ; -0.336 ; Rise       ; clk             ;
1579
;  mbus_addr2_i[14] ; clk        ; -0.095 ; -0.253 ; Rise       ; clk             ;
1580
;  mbus_addr2_i[15] ; clk        ; -0.156 ; -0.334 ; Rise       ; clk             ;
1581
;  mbus_addr2_i[16] ; clk        ; -0.096 ; -0.271 ; Rise       ; clk             ;
1582
;  mbus_addr2_i[17] ; clk        ; -0.036 ; -0.274 ; Rise       ; clk             ;
1583
;  mbus_addr2_i[18] ; clk        ; -0.077 ; -0.254 ; Rise       ; clk             ;
1584
;  mbus_addr2_i[19] ; clk        ; -0.126 ; -0.305 ; Rise       ; clk             ;
1585
;  mbus_addr2_i[20] ; clk        ; -0.134 ; -0.209 ; Rise       ; clk             ;
1586
;  mbus_addr2_i[21] ; clk        ; -0.185 ; -0.331 ; Rise       ; clk             ;
1587
;  mbus_addr2_i[22] ; clk        ; 0.040  ; -0.161 ; Rise       ; clk             ;
1588
;  mbus_addr2_i[23] ; clk        ; -0.218 ; -0.387 ; Rise       ; clk             ;
1589
;  mbus_addr2_i[24] ; clk        ; -0.124 ; -0.271 ; Rise       ; clk             ;
1590
;  mbus_addr2_i[25] ; clk        ; 0.128  ; -0.157 ; Rise       ; clk             ;
1591
;  mbus_addr2_i[26] ; clk        ; -0.160 ; -0.338 ; Rise       ; clk             ;
1592
;  mbus_addr2_i[27] ; clk        ; -0.262 ; -0.388 ; Rise       ; clk             ;
1593
;  mbus_addr2_i[28] ; clk        ; -0.091 ; -0.266 ; Rise       ; clk             ;
1594
;  mbus_addr2_i[29] ; clk        ; -0.171 ; -0.329 ; Rise       ; clk             ;
1595
;  mbus_addr2_i[30] ; clk        ; -0.133 ; -0.247 ; Rise       ; clk             ;
1596
;  mbus_addr2_i[31] ; clk        ; -0.290 ; -0.471 ; Rise       ; clk             ;
1597
; mbus_addr3_i[*]   ; clk        ; 0.005  ; -0.116 ; Rise       ; clk             ;
1598
;  mbus_addr3_i[0]  ; clk        ; -0.208 ; -0.379 ; Rise       ; clk             ;
1599
;  mbus_addr3_i[1]  ; clk        ; -0.101 ; -0.254 ; Rise       ; clk             ;
1600
;  mbus_addr3_i[2]  ; clk        ; -0.168 ; -0.324 ; Rise       ; clk             ;
1601
;  mbus_addr3_i[3]  ; clk        ; -0.259 ; -0.366 ; Rise       ; clk             ;
1602
;  mbus_addr3_i[4]  ; clk        ; -0.272 ; -0.424 ; Rise       ; clk             ;
1603
;  mbus_addr3_i[5]  ; clk        ; -0.009 ; -0.210 ; Rise       ; clk             ;
1604
;  mbus_addr3_i[6]  ; clk        ; -0.186 ; -0.341 ; Rise       ; clk             ;
1605
;  mbus_addr3_i[7]  ; clk        ; -0.085 ; -0.200 ; Rise       ; clk             ;
1606
;  mbus_addr3_i[8]  ; clk        ; -0.183 ; -0.344 ; Rise       ; clk             ;
1607
;  mbus_addr3_i[9]  ; clk        ; -0.132 ; -0.261 ; Rise       ; clk             ;
1608
;  mbus_addr3_i[10] ; clk        ; -0.126 ; -0.265 ; Rise       ; clk             ;
1609
;  mbus_addr3_i[11] ; clk        ; -0.036 ; -0.116 ; Rise       ; clk             ;
1610
;  mbus_addr3_i[12] ; clk        ; 0.005  ; -0.220 ; Rise       ; clk             ;
1611
;  mbus_addr3_i[13] ; clk        ; -0.171 ; -0.304 ; Rise       ; clk             ;
1612
;  mbus_addr3_i[14] ; clk        ; -0.047 ; -0.251 ; Rise       ; clk             ;
1613
;  mbus_addr3_i[15] ; clk        ; -0.133 ; -0.274 ; Rise       ; clk             ;
1614
;  mbus_addr3_i[16] ; clk        ; -0.201 ; -0.282 ; Rise       ; clk             ;
1615
;  mbus_addr3_i[17] ; clk        ; -0.186 ; -0.336 ; Rise       ; clk             ;
1616
;  mbus_addr3_i[18] ; clk        ; -0.193 ; -0.333 ; Rise       ; clk             ;
1617
;  mbus_addr3_i[19] ; clk        ; -0.047 ; -0.265 ; Rise       ; clk             ;
1618
;  mbus_addr3_i[20] ; clk        ; -0.118 ; -0.250 ; Rise       ; clk             ;
1619
;  mbus_addr3_i[21] ; clk        ; -0.169 ; -0.267 ; Rise       ; clk             ;
1620
;  mbus_addr3_i[22] ; clk        ; -0.212 ; -0.310 ; Rise       ; clk             ;
1621
;  mbus_addr3_i[23] ; clk        ; -0.030 ; -0.260 ; Rise       ; clk             ;
1622
;  mbus_addr3_i[24] ; clk        ; -0.184 ; -0.396 ; Rise       ; clk             ;
1623
;  mbus_addr3_i[25] ; clk        ; -0.100 ; -0.317 ; Rise       ; clk             ;
1624
;  mbus_addr3_i[26] ; clk        ; -0.113 ; -0.354 ; Rise       ; clk             ;
1625
;  mbus_addr3_i[27] ; clk        ; -0.157 ; -0.232 ; Rise       ; clk             ;
1626
;  mbus_addr3_i[28] ; clk        ; -0.182 ; -0.335 ; Rise       ; clk             ;
1627
;  mbus_addr3_i[29] ; clk        ; -0.136 ; -0.226 ; Rise       ; clk             ;
1628
;  mbus_addr3_i[30] ; clk        ; -0.096 ; -0.333 ; Rise       ; clk             ;
1629
;  mbus_addr3_i[31] ; clk        ; -0.119 ; -0.373 ; Rise       ; clk             ;
1630
; mbus_cmd0_i[*]    ; clk        ; 0.058  ; -0.084 ; Rise       ; clk             ;
1631
;  mbus_cmd0_i[0]   ; clk        ; 0.058  ; -0.118 ; Rise       ; clk             ;
1632
;  mbus_cmd0_i[1]   ; clk        ; -0.139 ; -0.258 ; Rise       ; clk             ;
1633
;  mbus_cmd0_i[2]   ; clk        ; 0.057  ; -0.084 ; Rise       ; clk             ;
1634
; mbus_cmd1_i[*]    ; clk        ; 0.034  ; -0.047 ; Rise       ; clk             ;
1635
;  mbus_cmd1_i[0]   ; clk        ; -0.033 ; -0.238 ; Rise       ; clk             ;
1636
;  mbus_cmd1_i[1]   ; clk        ; 0.034  ; -0.047 ; Rise       ; clk             ;
1637
;  mbus_cmd1_i[2]   ; clk        ; 0.015  ; -0.181 ; Rise       ; clk             ;
1638
; mbus_cmd2_i[*]    ; clk        ; -0.060 ; -0.103 ; Rise       ; clk             ;
1639
;  mbus_cmd2_i[0]   ; clk        ; -0.092 ; -0.159 ; Rise       ; clk             ;
1640
;  mbus_cmd2_i[1]   ; clk        ; -0.084 ; -0.103 ; Rise       ; clk             ;
1641
;  mbus_cmd2_i[2]   ; clk        ; -0.060 ; -0.196 ; Rise       ; clk             ;
1642
; mbus_cmd3_i[*]    ; clk        ; 0.114  ; -0.121 ; Rise       ; clk             ;
1643
;  mbus_cmd3_i[0]   ; clk        ; 0.114  ; -0.121 ; Rise       ; clk             ;
1644
;  mbus_cmd3_i[1]   ; clk        ; -0.058 ; -0.208 ; Rise       ; clk             ;
1645
;  mbus_cmd3_i[2]   ; clk        ; -0.148 ; -0.352 ; Rise       ; clk             ;
1646
+-------------------+------------+--------+--------+------------+-----------------+
1647
 
1648
 
1649
+------------------------------------------------------------------------------+
1650
; Clock to Output Times                                                        ;
1651
+------------------+------------+-------+-------+------------+-----------------+
1652
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
1653
+------------------+------------+-------+-------+------------+-----------------+
1654
; cbus_addr_o[*]   ; clk        ; 5.453 ; 5.412 ; Rise       ; clk             ;
1655
;  cbus_addr_o[0]  ; clk        ; 5.354 ; 5.330 ; Rise       ; clk             ;
1656
;  cbus_addr_o[1]  ; clk        ; 5.398 ; 5.357 ; Rise       ; clk             ;
1657
;  cbus_addr_o[2]  ; clk        ; 5.329 ; 5.305 ; Rise       ; clk             ;
1658
;  cbus_addr_o[3]  ; clk        ; 5.321 ; 5.297 ; Rise       ; clk             ;
1659
;  cbus_addr_o[4]  ; clk        ; 5.341 ; 5.317 ; Rise       ; clk             ;
1660
;  cbus_addr_o[5]  ; clk        ; 5.312 ; 5.288 ; Rise       ; clk             ;
1661
;  cbus_addr_o[6]  ; clk        ; 5.351 ; 5.327 ; Rise       ; clk             ;
1662
;  cbus_addr_o[7]  ; clk        ; 5.420 ; 5.379 ; Rise       ; clk             ;
1663
;  cbus_addr_o[8]  ; clk        ; 5.382 ; 5.341 ; Rise       ; clk             ;
1664
;  cbus_addr_o[9]  ; clk        ; 5.331 ; 5.307 ; Rise       ; clk             ;
1665
;  cbus_addr_o[10] ; clk        ; 5.408 ; 5.367 ; Rise       ; clk             ;
1666
;  cbus_addr_o[11] ; clk        ; 5.325 ; 5.301 ; Rise       ; clk             ;
1667
;  cbus_addr_o[12] ; clk        ; 5.453 ; 5.412 ; Rise       ; clk             ;
1668
;  cbus_addr_o[13] ; clk        ; 5.314 ; 5.290 ; Rise       ; clk             ;
1669
;  cbus_addr_o[14] ; clk        ; 5.393 ; 5.352 ; Rise       ; clk             ;
1670
;  cbus_addr_o[15] ; clk        ; 5.401 ; 5.360 ; Rise       ; clk             ;
1671
;  cbus_addr_o[16] ; clk        ; 5.405 ; 5.364 ; Rise       ; clk             ;
1672
;  cbus_addr_o[17] ; clk        ; 5.332 ; 5.308 ; Rise       ; clk             ;
1673
;  cbus_addr_o[18] ; clk        ; 5.330 ; 5.306 ; Rise       ; clk             ;
1674
;  cbus_addr_o[19] ; clk        ; 5.334 ; 5.310 ; Rise       ; clk             ;
1675
;  cbus_addr_o[20] ; clk        ; 5.339 ; 5.315 ; Rise       ; clk             ;
1676
;  cbus_addr_o[21] ; clk        ; 5.321 ; 5.297 ; Rise       ; clk             ;
1677
;  cbus_addr_o[22] ; clk        ; 5.341 ; 5.317 ; Rise       ; clk             ;
1678
;  cbus_addr_o[23] ; clk        ; 5.327 ; 5.303 ; Rise       ; clk             ;
1679
;  cbus_addr_o[24] ; clk        ; 5.333 ; 5.309 ; Rise       ; clk             ;
1680
;  cbus_addr_o[25] ; clk        ; 5.330 ; 5.311 ; Rise       ; clk             ;
1681
;  cbus_addr_o[26] ; clk        ; 5.331 ; 5.307 ; Rise       ; clk             ;
1682
;  cbus_addr_o[27] ; clk        ; 5.362 ; 5.338 ; Rise       ; clk             ;
1683
;  cbus_addr_o[28] ; clk        ; 5.334 ; 5.310 ; Rise       ; clk             ;
1684
;  cbus_addr_o[29] ; clk        ; 5.354 ; 5.330 ; Rise       ; clk             ;
1685
;  cbus_addr_o[30] ; clk        ; 5.406 ; 5.365 ; Rise       ; clk             ;
1686
;  cbus_addr_o[31] ; clk        ; 5.325 ; 5.301 ; Rise       ; clk             ;
1687
; cbus_cmd0_o[*]   ; clk        ; 8.194 ; 8.149 ; Rise       ; clk             ;
1688
;  cbus_cmd0_o[0]  ; clk        ; 8.121 ; 8.059 ; Rise       ; clk             ;
1689
;  cbus_cmd0_o[1]  ; clk        ; 8.194 ; 8.149 ; Rise       ; clk             ;
1690
;  cbus_cmd0_o[2]  ; clk        ; 8.088 ; 8.045 ; Rise       ; clk             ;
1691
; cbus_cmd1_o[*]   ; clk        ; 8.229 ; 8.160 ; Rise       ; clk             ;
1692
;  cbus_cmd1_o[0]  ; clk        ; 8.229 ; 8.160 ; Rise       ; clk             ;
1693
;  cbus_cmd1_o[1]  ; clk        ; 8.204 ; 8.086 ; Rise       ; clk             ;
1694
;  cbus_cmd1_o[2]  ; clk        ; 7.945 ; 7.840 ; Rise       ; clk             ;
1695
; cbus_cmd2_o[*]   ; clk        ; 8.267 ; 8.217 ; Rise       ; clk             ;
1696
;  cbus_cmd2_o[0]  ; clk        ; 7.944 ; 7.911 ; Rise       ; clk             ;
1697
;  cbus_cmd2_o[1]  ; clk        ; 8.174 ; 8.120 ; Rise       ; clk             ;
1698
;  cbus_cmd2_o[2]  ; clk        ; 8.267 ; 8.217 ; Rise       ; clk             ;
1699
; cbus_cmd3_o[*]   ; clk        ; 8.017 ; 8.008 ; Rise       ; clk             ;
1700
;  cbus_cmd3_o[0]  ; clk        ; 7.868 ; 7.825 ; Rise       ; clk             ;
1701
;  cbus_cmd3_o[1]  ; clk        ; 7.912 ; 7.790 ; Rise       ; clk             ;
1702
;  cbus_cmd3_o[2]  ; clk        ; 8.017 ; 8.008 ; Rise       ; clk             ;
1703
; mbus_ack0_o      ; clk        ; 5.329 ; 5.305 ; Rise       ; clk             ;
1704
; mbus_ack1_o      ; clk        ; 5.336 ; 5.312 ; Rise       ; clk             ;
1705
; mbus_ack2_o      ; clk        ; 5.387 ; 5.346 ; Rise       ; clk             ;
1706
; mbus_ack3_o      ; clk        ; 5.395 ; 5.354 ; Rise       ; clk             ;
1707
+------------------+------------+-------+-------+------------+-----------------+
1708
 
1709
 
1710
+------------------------------------------------------------------------------+
1711
; Minimum Clock to Output Times                                                ;
1712
+------------------+------------+-------+-------+------------+-----------------+
1713
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
1714
+------------------+------------+-------+-------+------------+-----------------+
1715
; cbus_addr_o[*]   ; clk        ; 5.203 ; 5.180 ; Rise       ; clk             ;
1716
;  cbus_addr_o[0]  ; clk        ; 5.244 ; 5.221 ; Rise       ; clk             ;
1717
;  cbus_addr_o[1]  ; clk        ; 5.287 ; 5.247 ; Rise       ; clk             ;
1718
;  cbus_addr_o[2]  ; clk        ; 5.220 ; 5.197 ; Rise       ; clk             ;
1719
;  cbus_addr_o[3]  ; clk        ; 5.211 ; 5.188 ; Rise       ; clk             ;
1720
;  cbus_addr_o[4]  ; clk        ; 5.232 ; 5.209 ; Rise       ; clk             ;
1721
;  cbus_addr_o[5]  ; clk        ; 5.203 ; 5.180 ; Rise       ; clk             ;
1722
;  cbus_addr_o[6]  ; clk        ; 5.242 ; 5.219 ; Rise       ; clk             ;
1723
;  cbus_addr_o[7]  ; clk        ; 5.309 ; 5.269 ; Rise       ; clk             ;
1724
;  cbus_addr_o[8]  ; clk        ; 5.273 ; 5.233 ; Rise       ; clk             ;
1725
;  cbus_addr_o[9]  ; clk        ; 5.221 ; 5.198 ; Rise       ; clk             ;
1726
;  cbus_addr_o[10] ; clk        ; 5.297 ; 5.257 ; Rise       ; clk             ;
1727
;  cbus_addr_o[11] ; clk        ; 5.215 ; 5.192 ; Rise       ; clk             ;
1728
;  cbus_addr_o[12] ; clk        ; 5.343 ; 5.303 ; Rise       ; clk             ;
1729
;  cbus_addr_o[13] ; clk        ; 5.205 ; 5.182 ; Rise       ; clk             ;
1730
;  cbus_addr_o[14] ; clk        ; 5.283 ; 5.243 ; Rise       ; clk             ;
1731
;  cbus_addr_o[15] ; clk        ; 5.292 ; 5.252 ; Rise       ; clk             ;
1732
;  cbus_addr_o[16] ; clk        ; 5.296 ; 5.256 ; Rise       ; clk             ;
1733
;  cbus_addr_o[17] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
1734
;  cbus_addr_o[18] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
1735
;  cbus_addr_o[19] ; clk        ; 5.225 ; 5.202 ; Rise       ; clk             ;
1736
;  cbus_addr_o[20] ; clk        ; 5.230 ; 5.207 ; Rise       ; clk             ;
1737
;  cbus_addr_o[21] ; clk        ; 5.212 ; 5.189 ; Rise       ; clk             ;
1738
;  cbus_addr_o[22] ; clk        ; 5.231 ; 5.208 ; Rise       ; clk             ;
1739
;  cbus_addr_o[23] ; clk        ; 5.218 ; 5.195 ; Rise       ; clk             ;
1740
;  cbus_addr_o[24] ; clk        ; 5.224 ; 5.201 ; Rise       ; clk             ;
1741
;  cbus_addr_o[25] ; clk        ; 5.219 ; 5.201 ; Rise       ; clk             ;
1742
;  cbus_addr_o[26] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
1743
;  cbus_addr_o[27] ; clk        ; 5.252 ; 5.229 ; Rise       ; clk             ;
1744
;  cbus_addr_o[28] ; clk        ; 5.225 ; 5.202 ; Rise       ; clk             ;
1745
;  cbus_addr_o[29] ; clk        ; 5.245 ; 5.222 ; Rise       ; clk             ;
1746
;  cbus_addr_o[30] ; clk        ; 5.296 ; 5.256 ; Rise       ; clk             ;
1747
;  cbus_addr_o[31] ; clk        ; 5.215 ; 5.192 ; Rise       ; clk             ;
1748
; cbus_cmd0_o[*]   ; clk        ; 7.136 ; 7.098 ; Rise       ; clk             ;
1749
;  cbus_cmd0_o[0]  ; clk        ; 7.186 ; 7.230 ; Rise       ; clk             ;
1750
;  cbus_cmd0_o[1]  ; clk        ; 7.262 ; 7.281 ; Rise       ; clk             ;
1751
;  cbus_cmd0_o[2]  ; clk        ; 7.136 ; 7.098 ; Rise       ; clk             ;
1752
; cbus_cmd1_o[*]   ; clk        ; 6.982 ; 6.860 ; Rise       ; clk             ;
1753
;  cbus_cmd1_o[0]  ; clk        ; 7.454 ; 7.482 ; Rise       ; clk             ;
1754
;  cbus_cmd1_o[1]  ; clk        ; 7.367 ; 7.317 ; Rise       ; clk             ;
1755
;  cbus_cmd1_o[2]  ; clk        ; 6.982 ; 6.860 ; Rise       ; clk             ;
1756
; cbus_cmd2_o[*]   ; clk        ; 7.237 ; 7.256 ; Rise       ; clk             ;
1757
;  cbus_cmd2_o[0]  ; clk        ; 7.237 ; 7.297 ; Rise       ; clk             ;
1758
;  cbus_cmd2_o[1]  ; clk        ; 7.433 ; 7.482 ; Rise       ; clk             ;
1759
;  cbus_cmd2_o[2]  ; clk        ; 7.359 ; 7.256 ; Rise       ; clk             ;
1760
; cbus_cmd3_o[*]   ; clk        ; 7.214 ; 7.158 ; Rise       ; clk             ;
1761
;  cbus_cmd3_o[0]  ; clk        ; 7.294 ; 7.336 ; Rise       ; clk             ;
1762
;  cbus_cmd3_o[1]  ; clk        ; 7.214 ; 7.158 ; Rise       ; clk             ;
1763
;  cbus_cmd3_o[2]  ; clk        ; 7.228 ; 7.213 ; Rise       ; clk             ;
1764
; mbus_ack0_o      ; clk        ; 5.219 ; 5.196 ; Rise       ; clk             ;
1765
; mbus_ack1_o      ; clk        ; 5.226 ; 5.203 ; Rise       ; clk             ;
1766
; mbus_ack2_o      ; clk        ; 5.277 ; 5.237 ; Rise       ; clk             ;
1767
; mbus_ack3_o      ; clk        ; 5.284 ; 5.244 ; Rise       ; clk             ;
1768
+------------------+------------+-------+-------+------------+-----------------+
1769
 
1770
 
1771
---------------------------------------------
1772
; Slow 1200mV 0C Model Metastability Report ;
1773
---------------------------------------------
1774
No synchronizer chains to report.
1775
 
1776
 
1777
+------------------------------------+
1778
; Fast 1200mV 0C Model Setup Summary ;
1779
+-------+--------+-------------------+
1780
; Clock ; Slack  ; End Point TNS     ;
1781
+-------+--------+-------------------+
1782
; clk   ; -4.682 ; -1313.549         ;
1783
+-------+--------+-------------------+
1784
 
1785
 
1786
+-----------------------------------+
1787
; Fast 1200mV 0C Model Hold Summary ;
1788
+-------+--------+------------------+
1789
; Clock ; Slack  ; End Point TNS    ;
1790
+-------+--------+------------------+
1791
; clk   ; -0.271 ; -3.470           ;
1792
+-------+--------+------------------+
1793
 
1794
 
1795
-----------------------------------------
1796
; Fast 1200mV 0C Model Recovery Summary ;
1797
-----------------------------------------
1798
No paths to report.
1799
 
1800
 
1801
----------------------------------------
1802
; Fast 1200mV 0C Model Removal Summary ;
1803
----------------------------------------
1804
No paths to report.
1805
 
1806
 
1807
+--------------------------------------------------+
1808
; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
1809
+-------+--------+---------------------------------+
1810
; Clock ; Slack  ; End Point TNS                   ;
1811
+-------+--------+---------------------------------+
1812
; clk   ; -3.000 ; -651.247                        ;
1813
+-------+--------+---------------------------------+
1814
 
1815
 
1816
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1817
; Fast 1200mV 0C Model Setup: 'clk'                                                                                                                                                                                                                             ;
1818
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1819
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1820
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1821
; -4.682 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.673      ;
1822
; -4.679 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.670      ;
1823
; -4.646 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.639      ;
1824
; -4.626 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.617      ;
1825
; -4.626 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.617      ;
1826
; -4.624 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.616      ;
1827
; -4.614 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.606      ;
1828
; -4.608 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.601      ;
1829
; -4.595 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.586      ;
1830
; -4.594 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.587      ;
1831
; -4.590 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.581      ;
1832
; -4.587 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.578      ;
1833
; -4.583 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.575      ;
1834
; -4.572 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.564      ;
1835
; -4.568 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.560      ;
1836
; -4.560 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.551      ;
1837
; -4.560 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.551      ;
1838
; -4.557 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.549      ;
1839
; -4.552 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.543      ;
1840
; -4.552 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.543      ;
1841
; -4.547 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.538      ;
1842
; -4.547 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.538      ;
1843
; -4.542 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.533      ;
1844
; -4.535 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.528      ;
1845
; -4.534 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.526      ;
1846
; -4.531 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.524      ;
1847
; -4.527 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.518      ;
1848
; -4.513 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.505      ;
1849
; -4.509 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.500      ;
1850
; -4.506 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.497      ;
1851
; -4.505 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.497      ;
1852
; -4.503 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.494      ;
1853
; -4.491 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.484      ;
1854
; -4.482 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.473      ;
1855
; -4.476 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.467      ;
1856
; -4.471 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.463      ;
1857
; -4.468 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.459      ;
1858
; -4.468 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.460      ;
1859
; -4.463 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.454      ;
1860
; -4.458 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.451      ;
1861
; -4.456 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.448      ;
1862
; -4.438 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.430      ;
1863
; -4.435 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.426      ;
1864
; -4.433 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.425      ;
1865
; -4.431 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.422      ;
1866
; -4.428 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.419      ;
1867
; -4.422 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.413      ;
1868
; -4.416 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.407      ;
1869
; -4.408 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.399      ;
1870
; -4.406 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.398      ;
1871
; -4.403 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.394      ;
1872
; -4.397 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.389      ;
1873
; -4.392 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.384      ;
1874
; -4.380 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.371      ;
1875
; -4.380 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.371      ;
1876
; -4.379 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.371      ;
1877
; -4.378 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.370      ;
1878
; -4.374 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.367      ;
1879
; -4.369 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.362      ;
1880
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.359      ;
1881
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.358      ;
1882
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.358      ;
1883
; -4.354 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.345      ;
1884
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.344      ;
1885
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.346      ;
1886
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.344      ;
1887
; -4.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.341      ;
1888
; -4.344 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.335      ;
1889
; -4.337 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.329      ;
1890
; -4.317 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.310      ;
1891
; -4.316 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.307      ;
1892
; -4.316 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.307      ;
1893
; -4.303 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.295      ;
1894
; -4.302 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.295      ;
1895
; -4.296 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.288      ;
1896
; -4.296 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.287      ;
1897
; -4.291 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.283      ;
1898
; -4.283 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.274      ;
1899
; -4.278 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.270      ;
1900
; -4.276 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.267      ;
1901
; -4.271 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.263      ;
1902
; -4.269 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.260      ;
1903
; -4.236 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.227      ;
1904
; -4.232 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.223      ;
1905
; -4.223 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.214      ;
1906
; -4.222 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.215      ;
1907
; -4.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.208      ;
1908
; -4.209 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.200      ;
1909
; -4.189 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.182      ;
1910
; -4.184 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.177      ;
1911
; -4.172 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.163      ;
1912
; -4.161 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.154      ;
1913
; -4.147 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.140      ;
1914
; -4.122 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.115      ;
1915
; -4.108 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.101      ;
1916
; -3.944 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 2.937      ;
1917
; -3.275 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.078     ; 4.136      ;
1918
; -3.269 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.073     ; 4.135      ;
1919
; -3.266 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.078     ; 4.127      ;
1920
; -3.260 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.073     ; 4.126      ;
1921
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1922
 
1923
 
1924
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1925
; Fast 1200mV 0C Model Hold: 'clk'                                                                                                                                                                                           ;
1926
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1927
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
1928
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
1929
; -0.271 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.900      ; 1.613      ;
1930
; -0.157 ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.911      ; 1.738      ;
1931
; -0.121 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.735      ;
1932
; -0.119 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.755      ;
1933
; -0.107 ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.749      ;
1934
; -0.095 ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.800      ;
1935
; -0.095 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.772      ;
1936
; -0.094 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.764      ;
1937
; -0.094 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.764      ;
1938
; -0.093 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 1.903      ; 1.794      ;
1939
; -0.093 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 1.903      ; 1.794      ;
1940
; -0.086 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.769      ;
1941
; -0.084 ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.910      ; 1.810      ;
1942
; -0.083 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.904      ; 1.805      ;
1943
; -0.082 ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.773      ;
1944
; -0.077 ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 1.881      ; 1.762      ;
1945
; -0.073 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 1.882      ; 1.793      ;
1946
; -0.069 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.798      ;
1947
; -0.064 ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.810      ;
1948
; -0.063 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.792      ;
1949
; -0.061 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.795      ;
1950
; -0.058 ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.776      ;
1951
; -0.057 ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.838      ;
1952
; -0.057 ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.810      ;
1953
; -0.054 ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 1.887      ; 1.817      ;
1954
; -0.054 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.813      ;
1955
; -0.052 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 1.866      ; 1.798      ;
1956
; -0.051 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.816      ;
1957
; -0.050 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.806      ;
1958
; -0.049 ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.804      ;
1959
; -0.048 ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 1.844      ; 1.780      ;
1960
; -0.047 ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 1.882      ; 1.819      ;
1961
; -0.047 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.808      ;
1962
; -0.045 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.798      ;
1963
; -0.042 ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 1.856      ; 1.798      ;
1964
; -0.042 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.803      ;
1965
; -0.039 ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 1.855      ; 1.800      ;
1966
; -0.039 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.816      ;
1967
; -0.038 ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.805      ;
1968
; -0.036 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.770      ;
1969
; -0.034 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 1.876      ; 1.826      ;
1970
; -0.033 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.825      ;
1971
; -0.032 ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.821      ;
1972
; -0.031 ; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][9]                                           ; clk          ; clk         ; 0.000        ; 1.878      ; 1.831      ;
1973
; -0.031 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.816      ;
1974
; -0.031 ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.836      ;
1975
; -0.028 ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.892      ; 1.848      ;
1976
; -0.028 ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.828      ;
1977
; -0.027 ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.820      ;
1978
; -0.027 ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.868      ; 1.825      ;
1979
; -0.027 ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.881      ; 1.838      ;
1980
; -0.025 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 1.861      ; 1.820      ;
1981
; -0.023 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.833      ;
1982
; -0.023 ; cbus_ack1_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 1.887      ; 1.848      ;
1983
; -0.022 ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.812      ;
1984
; -0.022 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 1.862      ; 1.824      ;
1985
; -0.022 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.821      ;
1986
; -0.021 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.785      ;
1987
; -0.020 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.835      ;
1988
; -0.019 ; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[9]                                             ; clk          ; clk         ; 0.000        ; 1.871      ; 1.836      ;
1989
; -0.019 ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.855      ;
1990
; -0.018 ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.835      ;
1991
; -0.018 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.878      ; 1.844      ;
1992
; -0.018 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 1.904      ; 1.870      ;
1993
; -0.016 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.829      ;
1994
; -0.016 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[15]                                            ; clk          ; clk         ; 0.000        ; 1.874      ; 1.842      ;
1995
; -0.015 ; mbus_addr2_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][37]                                          ; clk          ; clk         ; 0.000        ; 1.864      ; 1.833      ;
1996
; -0.015 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 1.878      ; 1.847      ;
1997
; -0.013 ; mbus_addr1_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 1.881      ; 1.852      ;
1998
; -0.013 ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.842      ;
1999
; -0.011 ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.843      ; 1.816      ;
2000
; -0.011 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[36]                                            ; clk          ; clk         ; 0.000        ; 1.862      ; 1.835      ;
2001
; -0.011 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][15]                                          ; clk          ; clk         ; 0.000        ; 1.875      ; 1.848      ;
2002
; -0.011 ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.845      ; 1.818      ;
2003
; -0.009 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[32]                                            ; clk          ; clk         ; 0.000        ; 1.872      ; 1.847      ;
2004
; -0.007 ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 1.850      ; 1.827      ;
2005
; -0.007 ; mbus_addr2_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][33]                                          ; clk          ; clk         ; 0.000        ; 1.873      ; 1.850      ;
2006
; -0.006 ; mbus_cmd0_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.849      ;
2007
; -0.005 ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.847      ; 1.826      ;
2008
; -0.005 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.850      ;
2009
; -0.003 ; mbus_addr3_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.853      ;
2010
; -0.003 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.811      ;
2011
; -0.003 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 1.878      ; 1.859      ;
2012
; -0.003 ; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.811      ;
2013
; -0.002 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 1.861      ; 1.843      ;
2014
; -0.002 ; mbus_addr1_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][33]                                          ; clk          ; clk         ; 0.000        ; 1.880      ; 1.862      ;
2015
; -0.001 ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.894      ;
2016
; 0.000  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 1.825      ; 1.809      ;
2017
; 0.001  ; mbus_addr3_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.848      ;
2018
; 0.001  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 1.871      ; 1.856      ;
2019
; 0.001  ; mbus_addr2_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 1.858      ; 1.843      ;
2020
; 0.002  ; mbus_addr2_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][33]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.857      ;
2021
; 0.002  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.808      ;
2022
; 0.003  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.848      ;
2023
; 0.003  ; mbus_addr3_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 1.887      ; 1.874      ;
2024
; 0.003  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 1.879      ; 1.866      ;
2025
; 0.004  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 1.855      ; 1.843      ;
2026
; 0.004  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.871      ;
2027
; 0.004  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.818      ;
2028
; 0.005  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.839      ;
2029
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
2030
 
2031
 
2032
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
2033
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk'                                                                                                            ;
2034
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
2035
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
2036
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
2037
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
2038
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
2039
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
2040
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
2041
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
2042
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
2043
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
2044
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
2045
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
2046
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
2047
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
2048
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
2049
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
2050
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
2051
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
2052
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
2053
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
2054
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
2055
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
2056
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
2057
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
2058
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
2059
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
2060
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
2061
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
2062
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
2063
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
2064
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
2065
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
2066
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
2067
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
2068
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
2069
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
2070
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
2071
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
2072
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
2073
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
2074
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
2075
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
2076
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
2077
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
2078
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
2079
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
2080
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
2081
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
2082
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
2083
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
2084
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
2085
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
2086
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
2087
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
2088
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
2089
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
2090
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
2091
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
2092
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
2093
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
2094
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
2095
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
2096
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
2097
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
2098
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
2099
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
2100
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
2101
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
2102
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
2103
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
2104
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
2105
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
2106
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
2107
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
2108
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
2109
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
2110
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
2111
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
2112
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
2113
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
2114
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
2115
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
2116
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
2117
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
2118
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
2119
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
2120
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
2121
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
2122
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
2123
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
2124
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
2125
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
2126
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
2127
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
2128
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
2129
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
2130
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
2131
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
2132
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
2133
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
2134
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
2135
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
2136
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
2137
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
2138
 
2139
 
2140
+-------------------------------------------------------------------------------+
2141
; Setup Times                                                                   ;
2142
+-------------------+------------+-------+-------+------------+-----------------+
2143
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2144
+-------------------+------------+-------+-------+------------+-----------------+
2145
; cbus_ack0_i       ; clk        ; 0.186 ; 0.650 ; Rise       ; clk             ;
2146
; cbus_ack1_i       ; clk        ; 0.194 ; 0.665 ; Rise       ; clk             ;
2147
; cbus_ack2_i       ; clk        ; 0.490 ; 0.649 ; Rise       ; clk             ;
2148
; cbus_ack3_i       ; clk        ; 0.441 ; 0.602 ; Rise       ; clk             ;
2149
; mbus_addr0_i[*]   ; clk        ; 0.486 ; 0.831 ; Rise       ; clk             ;
2150
;  mbus_addr0_i[0]  ; clk        ; 0.243 ; 0.800 ; Rise       ; clk             ;
2151
;  mbus_addr0_i[1]  ; clk        ; 0.190 ; 0.739 ; Rise       ; clk             ;
2152
;  mbus_addr0_i[2]  ; clk        ; 0.268 ; 0.813 ; Rise       ; clk             ;
2153
;  mbus_addr0_i[3]  ; clk        ; 0.243 ; 0.784 ; Rise       ; clk             ;
2154
;  mbus_addr0_i[4]  ; clk        ; 0.290 ; 0.824 ; Rise       ; clk             ;
2155
;  mbus_addr0_i[5]  ; clk        ; 0.232 ; 0.771 ; Rise       ; clk             ;
2156
;  mbus_addr0_i[6]  ; clk        ; 0.249 ; 0.797 ; Rise       ; clk             ;
2157
;  mbus_addr0_i[7]  ; clk        ; 0.190 ; 0.769 ; Rise       ; clk             ;
2158
;  mbus_addr0_i[8]  ; clk        ; 0.209 ; 0.773 ; Rise       ; clk             ;
2159
;  mbus_addr0_i[9]  ; clk        ; 0.245 ; 0.795 ; Rise       ; clk             ;
2160
;  mbus_addr0_i[10] ; clk        ; 0.263 ; 0.812 ; Rise       ; clk             ;
2161
;  mbus_addr0_i[11] ; clk        ; 0.238 ; 0.779 ; Rise       ; clk             ;
2162
;  mbus_addr0_i[12] ; clk        ; 0.144 ; 0.688 ; Rise       ; clk             ;
2163
;  mbus_addr0_i[13] ; clk        ; 0.172 ; 0.726 ; Rise       ; clk             ;
2164
;  mbus_addr0_i[14] ; clk        ; 0.247 ; 0.798 ; Rise       ; clk             ;
2165
;  mbus_addr0_i[15] ; clk        ; 0.194 ; 0.768 ; Rise       ; clk             ;
2166
;  mbus_addr0_i[16] ; clk        ; 0.217 ; 0.752 ; Rise       ; clk             ;
2167
;  mbus_addr0_i[17] ; clk        ; 0.486 ; 0.658 ; Rise       ; clk             ;
2168
;  mbus_addr0_i[18] ; clk        ; 0.242 ; 0.797 ; Rise       ; clk             ;
2169
;  mbus_addr0_i[19] ; clk        ; 0.239 ; 0.779 ; Rise       ; clk             ;
2170
;  mbus_addr0_i[20] ; clk        ; 0.226 ; 0.757 ; Rise       ; clk             ;
2171
;  mbus_addr0_i[21] ; clk        ; 0.152 ; 0.701 ; Rise       ; clk             ;
2172
;  mbus_addr0_i[22] ; clk        ; 0.137 ; 0.681 ; Rise       ; clk             ;
2173
;  mbus_addr0_i[23] ; clk        ; 0.264 ; 0.808 ; Rise       ; clk             ;
2174
;  mbus_addr0_i[24] ; clk        ; 0.238 ; 0.803 ; Rise       ; clk             ;
2175
;  mbus_addr0_i[25] ; clk        ; 0.219 ; 0.766 ; Rise       ; clk             ;
2176
;  mbus_addr0_i[26] ; clk        ; 0.210 ; 0.744 ; Rise       ; clk             ;
2177
;  mbus_addr0_i[27] ; clk        ; 0.271 ; 0.831 ; Rise       ; clk             ;
2178
;  mbus_addr0_i[28] ; clk        ; 0.220 ; 0.740 ; Rise       ; clk             ;
2179
;  mbus_addr0_i[29] ; clk        ; 0.148 ; 0.721 ; Rise       ; clk             ;
2180
;  mbus_addr0_i[30] ; clk        ; 0.196 ; 0.763 ; Rise       ; clk             ;
2181
;  mbus_addr0_i[31] ; clk        ; 0.194 ; 0.733 ; Rise       ; clk             ;
2182
; mbus_addr1_i[*]   ; clk        ; 0.379 ; 0.907 ; Rise       ; clk             ;
2183
;  mbus_addr1_i[0]  ; clk        ; 0.146 ; 0.702 ; Rise       ; clk             ;
2184
;  mbus_addr1_i[1]  ; clk        ; 0.231 ; 0.802 ; Rise       ; clk             ;
2185
;  mbus_addr1_i[2]  ; clk        ; 0.168 ; 0.738 ; Rise       ; clk             ;
2186
;  mbus_addr1_i[3]  ; clk        ; 0.246 ; 0.801 ; Rise       ; clk             ;
2187
;  mbus_addr1_i[4]  ; clk        ; 0.180 ; 0.743 ; Rise       ; clk             ;
2188
;  mbus_addr1_i[5]  ; clk        ; 0.136 ; 0.698 ; Rise       ; clk             ;
2189
;  mbus_addr1_i[6]  ; clk        ; 0.275 ; 0.820 ; Rise       ; clk             ;
2190
;  mbus_addr1_i[7]  ; clk        ; 0.229 ; 0.775 ; Rise       ; clk             ;
2191
;  mbus_addr1_i[8]  ; clk        ; 0.177 ; 0.742 ; Rise       ; clk             ;
2192
;  mbus_addr1_i[9]  ; clk        ; 0.121 ; 0.688 ; Rise       ; clk             ;
2193
;  mbus_addr1_i[10] ; clk        ; 0.140 ; 0.663 ; Rise       ; clk             ;
2194
;  mbus_addr1_i[11] ; clk        ; 0.211 ; 0.770 ; Rise       ; clk             ;
2195
;  mbus_addr1_i[12] ; clk        ; 0.073 ; 0.598 ; Rise       ; clk             ;
2196
;  mbus_addr1_i[13] ; clk        ; 0.184 ; 0.736 ; Rise       ; clk             ;
2197
;  mbus_addr1_i[14] ; clk        ; 0.215 ; 0.761 ; Rise       ; clk             ;
2198
;  mbus_addr1_i[15] ; clk        ; 0.346 ; 0.895 ; Rise       ; clk             ;
2199
;  mbus_addr1_i[16] ; clk        ; 0.180 ; 0.708 ; Rise       ; clk             ;
2200
;  mbus_addr1_i[17] ; clk        ; 0.371 ; 0.580 ; Rise       ; clk             ;
2201
;  mbus_addr1_i[18] ; clk        ; 0.379 ; 0.587 ; Rise       ; clk             ;
2202
;  mbus_addr1_i[19] ; clk        ; 0.198 ; 0.747 ; Rise       ; clk             ;
2203
;  mbus_addr1_i[20] ; clk        ; 0.187 ; 0.753 ; Rise       ; clk             ;
2204
;  mbus_addr1_i[21] ; clk        ; 0.223 ; 0.756 ; Rise       ; clk             ;
2205
;  mbus_addr1_i[22] ; clk        ; 0.135 ; 0.676 ; Rise       ; clk             ;
2206
;  mbus_addr1_i[23] ; clk        ; 0.110 ; 0.674 ; Rise       ; clk             ;
2207
;  mbus_addr1_i[24] ; clk        ; 0.166 ; 0.716 ; Rise       ; clk             ;
2208
;  mbus_addr1_i[25] ; clk        ; 0.353 ; 0.907 ; Rise       ; clk             ;
2209
;  mbus_addr1_i[26] ; clk        ; 0.165 ; 0.725 ; Rise       ; clk             ;
2210
;  mbus_addr1_i[27] ; clk        ; 0.108 ; 0.647 ; Rise       ; clk             ;
2211
;  mbus_addr1_i[28] ; clk        ; 0.133 ; 0.655 ; Rise       ; clk             ;
2212
;  mbus_addr1_i[29] ; clk        ; 0.234 ; 0.793 ; Rise       ; clk             ;
2213
;  mbus_addr1_i[30] ; clk        ; 0.179 ; 0.728 ; Rise       ; clk             ;
2214
;  mbus_addr1_i[31] ; clk        ; 0.233 ; 0.789 ; Rise       ; clk             ;
2215
; mbus_addr2_i[*]   ; clk        ; 0.309 ; 0.878 ; Rise       ; clk             ;
2216
;  mbus_addr2_i[0]  ; clk        ; 0.208 ; 0.780 ; Rise       ; clk             ;
2217
;  mbus_addr2_i[1]  ; clk        ; 0.229 ; 0.779 ; Rise       ; clk             ;
2218
;  mbus_addr2_i[2]  ; clk        ; 0.194 ; 0.755 ; Rise       ; clk             ;
2219
;  mbus_addr2_i[3]  ; clk        ; 0.094 ; 0.655 ; Rise       ; clk             ;
2220
;  mbus_addr2_i[4]  ; clk        ; 0.113 ; 0.710 ; Rise       ; clk             ;
2221
;  mbus_addr2_i[5]  ; clk        ; 0.116 ; 0.688 ; Rise       ; clk             ;
2222
;  mbus_addr2_i[6]  ; clk        ; 0.112 ; 0.660 ; Rise       ; clk             ;
2223
;  mbus_addr2_i[7]  ; clk        ; 0.190 ; 0.687 ; Rise       ; clk             ;
2224
;  mbus_addr2_i[8]  ; clk        ; 0.168 ; 0.727 ; Rise       ; clk             ;
2225
;  mbus_addr2_i[9]  ; clk        ; 0.141 ; 0.686 ; Rise       ; clk             ;
2226
;  mbus_addr2_i[10] ; clk        ; 0.289 ; 0.839 ; Rise       ; clk             ;
2227
;  mbus_addr2_i[11] ; clk        ; 0.202 ; 0.769 ; Rise       ; clk             ;
2228
;  mbus_addr2_i[12] ; clk        ; 0.170 ; 0.747 ; Rise       ; clk             ;
2229
;  mbus_addr2_i[13] ; clk        ; 0.169 ; 0.745 ; Rise       ; clk             ;
2230
;  mbus_addr2_i[14] ; clk        ; 0.155 ; 0.687 ; Rise       ; clk             ;
2231
;  mbus_addr2_i[15] ; clk        ; 0.212 ; 0.775 ; Rise       ; clk             ;
2232
;  mbus_addr2_i[16] ; clk        ; 0.232 ; 0.786 ; Rise       ; clk             ;
2233
;  mbus_addr2_i[17] ; clk        ; 0.138 ; 0.703 ; Rise       ; clk             ;
2234
;  mbus_addr2_i[18] ; clk        ; 0.162 ; 0.739 ; Rise       ; clk             ;
2235
;  mbus_addr2_i[19] ; clk        ; 0.308 ; 0.530 ; Rise       ; clk             ;
2236
;  mbus_addr2_i[20] ; clk        ; 0.159 ; 0.661 ; Rise       ; clk             ;
2237
;  mbus_addr2_i[21] ; clk        ; 0.204 ; 0.747 ; Rise       ; clk             ;
2238
;  mbus_addr2_i[22] ; clk        ; 0.124 ; 0.680 ; Rise       ; clk             ;
2239
;  mbus_addr2_i[23] ; clk        ; 0.230 ; 0.788 ; Rise       ; clk             ;
2240
;  mbus_addr2_i[24] ; clk        ; 0.135 ; 0.675 ; Rise       ; clk             ;
2241
;  mbus_addr2_i[25] ; clk        ; 0.142 ; 0.720 ; Rise       ; clk             ;
2242
;  mbus_addr2_i[26] ; clk        ; 0.161 ; 0.724 ; Rise       ; clk             ;
2243
;  mbus_addr2_i[27] ; clk        ; 0.309 ; 0.878 ; Rise       ; clk             ;
2244
;  mbus_addr2_i[28] ; clk        ; 0.142 ; 0.723 ; Rise       ; clk             ;
2245
;  mbus_addr2_i[29] ; clk        ; 0.212 ; 0.773 ; Rise       ; clk             ;
2246
;  mbus_addr2_i[30] ; clk        ; 0.205 ; 0.739 ; Rise       ; clk             ;
2247
;  mbus_addr2_i[31] ; clk        ; 0.289 ; 0.861 ; Rise       ; clk             ;
2248
; mbus_addr3_i[*]   ; clk        ; 0.330 ; 0.894 ; Rise       ; clk             ;
2249
;  mbus_addr3_i[0]  ; clk        ; 0.256 ; 0.799 ; Rise       ; clk             ;
2250
;  mbus_addr3_i[1]  ; clk        ; 0.212 ; 0.748 ; Rise       ; clk             ;
2251
;  mbus_addr3_i[2]  ; clk        ; 0.237 ; 0.796 ; Rise       ; clk             ;
2252
;  mbus_addr3_i[3]  ; clk        ; 0.234 ; 0.770 ; Rise       ; clk             ;
2253
;  mbus_addr3_i[4]  ; clk        ; 0.247 ; 0.808 ; Rise       ; clk             ;
2254
;  mbus_addr3_i[5]  ; clk        ; 0.193 ; 0.749 ; Rise       ; clk             ;
2255
;  mbus_addr3_i[6]  ; clk        ; 0.220 ; 0.767 ; Rise       ; clk             ;
2256
;  mbus_addr3_i[7]  ; clk        ; 0.330 ; 0.894 ; Rise       ; clk             ;
2257
;  mbus_addr3_i[8]  ; clk        ; 0.214 ; 0.765 ; Rise       ; clk             ;
2258
;  mbus_addr3_i[9]  ; clk        ; 0.193 ; 0.735 ; Rise       ; clk             ;
2259
;  mbus_addr3_i[10] ; clk        ; 0.224 ; 0.794 ; Rise       ; clk             ;
2260
;  mbus_addr3_i[11] ; clk        ; 0.221 ; 0.770 ; Rise       ; clk             ;
2261
;  mbus_addr3_i[12] ; clk        ; 0.182 ; 0.751 ; Rise       ; clk             ;
2262
;  mbus_addr3_i[13] ; clk        ; 0.246 ; 0.785 ; Rise       ; clk             ;
2263
;  mbus_addr3_i[14] ; clk        ; 0.153 ; 0.705 ; Rise       ; clk             ;
2264
;  mbus_addr3_i[15] ; clk        ; 0.210 ; 0.752 ; Rise       ; clk             ;
2265
;  mbus_addr3_i[16] ; clk        ; 0.290 ; 0.811 ; Rise       ; clk             ;
2266
;  mbus_addr3_i[17] ; clk        ; 0.209 ; 0.765 ; Rise       ; clk             ;
2267
;  mbus_addr3_i[18] ; clk        ; 0.281 ; 0.819 ; Rise       ; clk             ;
2268
;  mbus_addr3_i[19] ; clk        ; 0.261 ; 0.810 ; Rise       ; clk             ;
2269
;  mbus_addr3_i[20] ; clk        ; 0.216 ; 0.785 ; Rise       ; clk             ;
2270
;  mbus_addr3_i[21] ; clk        ; 0.166 ; 0.719 ; Rise       ; clk             ;
2271
;  mbus_addr3_i[22] ; clk        ; 0.212 ; 0.754 ; Rise       ; clk             ;
2272
;  mbus_addr3_i[23] ; clk        ; 0.212 ; 0.766 ; Rise       ; clk             ;
2273
;  mbus_addr3_i[24] ; clk        ; 0.252 ; 0.828 ; Rise       ; clk             ;
2274
;  mbus_addr3_i[25] ; clk        ; 0.191 ; 0.729 ; Rise       ; clk             ;
2275
;  mbus_addr3_i[26] ; clk        ; 0.249 ; 0.835 ; Rise       ; clk             ;
2276
;  mbus_addr3_i[27] ; clk        ; 0.256 ; 0.796 ; Rise       ; clk             ;
2277
;  mbus_addr3_i[28] ; clk        ; 0.234 ; 0.767 ; Rise       ; clk             ;
2278
;  mbus_addr3_i[29] ; clk        ; 0.271 ; 0.833 ; Rise       ; clk             ;
2279
;  mbus_addr3_i[30] ; clk        ; 0.187 ; 0.738 ; Rise       ; clk             ;
2280
;  mbus_addr3_i[31] ; clk        ; 0.207 ; 0.810 ; Rise       ; clk             ;
2281
; mbus_cmd0_i[*]    ; clk        ; 0.317 ; 0.703 ; Rise       ; clk             ;
2282
;  mbus_cmd0_i[0]   ; clk        ; 0.195 ; 0.665 ; Rise       ; clk             ;
2283
;  mbus_cmd0_i[1]   ; clk        ; 0.317 ; 0.703 ; Rise       ; clk             ;
2284
;  mbus_cmd0_i[2]   ; clk        ; 0.153 ; 0.634 ; Rise       ; clk             ;
2285
; mbus_cmd1_i[*]    ; clk        ; 0.296 ; 0.782 ; Rise       ; clk             ;
2286
;  mbus_cmd1_i[0]   ; clk        ; 0.269 ; 0.742 ; Rise       ; clk             ;
2287
;  mbus_cmd1_i[1]   ; clk        ; 0.240 ; 0.720 ; Rise       ; clk             ;
2288
;  mbus_cmd1_i[2]   ; clk        ; 0.296 ; 0.782 ; Rise       ; clk             ;
2289
; mbus_cmd2_i[*]    ; clk        ; 0.222 ; 0.639 ; Rise       ; clk             ;
2290
;  mbus_cmd2_i[0]   ; clk        ; 0.217 ; 0.623 ; Rise       ; clk             ;
2291
;  mbus_cmd2_i[1]   ; clk        ; 0.222 ; 0.569 ; Rise       ; clk             ;
2292
;  mbus_cmd2_i[2]   ; clk        ; 0.191 ; 0.639 ; Rise       ; clk             ;
2293
; mbus_cmd3_i[*]    ; clk        ; 0.227 ; 0.721 ; Rise       ; clk             ;
2294
;  mbus_cmd3_i[0]   ; clk        ; 0.201 ; 0.721 ; Rise       ; clk             ;
2295
;  mbus_cmd3_i[1]   ; clk        ; 0.219 ; 0.671 ; Rise       ; clk             ;
2296
;  mbus_cmd3_i[2]   ; clk        ; 0.227 ; 0.710 ; Rise       ; clk             ;
2297
+-------------------+------------+-------+-------+------------+-----------------+
2298
 
2299
 
2300
+---------------------------------------------------------------------------------+
2301
; Hold Times                                                                      ;
2302
+-------------------+------------+--------+--------+------------+-----------------+
2303
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
2304
+-------------------+------------+--------+--------+------------+-----------------+
2305
; cbus_ack0_i       ; clk        ; 0.154  ; -0.387 ; Rise       ; clk             ;
2306
; cbus_ack1_i       ; clk        ; 0.123  ; -0.400 ; Rise       ; clk             ;
2307
; cbus_ack2_i       ; clk        ; -0.133 ; -0.365 ; Rise       ; clk             ;
2308
; cbus_ack3_i       ; clk        ; -0.062 ; -0.286 ; Rise       ; clk             ;
2309
; mbus_addr0_i[*]   ; clk        ; 0.193  ; -0.321 ; Rise       ; clk             ;
2310
;  mbus_addr0_i[0]  ; clk        ; 0.011  ; -0.531 ; Rise       ; clk             ;
2311
;  mbus_addr0_i[1]  ; clk        ; 0.063  ; -0.474 ; Rise       ; clk             ;
2312
;  mbus_addr0_i[2]  ; clk        ; 0.074  ; -0.461 ; Rise       ; clk             ;
2313
;  mbus_addr0_i[3]  ; clk        ; 0.055  ; -0.481 ; Rise       ; clk             ;
2314
;  mbus_addr0_i[4]  ; clk        ; 0.037  ; -0.495 ; Rise       ; clk             ;
2315
;  mbus_addr0_i[5]  ; clk        ; 0.017  ; -0.516 ; Rise       ; clk             ;
2316
;  mbus_addr0_i[6]  ; clk        ; 0.006  ; -0.525 ; Rise       ; clk             ;
2317
;  mbus_addr0_i[7]  ; clk        ; 0.184  ; -0.379 ; Rise       ; clk             ;
2318
;  mbus_addr0_i[8]  ; clk        ; 0.158  ; -0.378 ; Rise       ; clk             ;
2319
;  mbus_addr0_i[9]  ; clk        ; 0.063  ; -0.469 ; Rise       ; clk             ;
2320
;  mbus_addr0_i[10] ; clk        ; 0.019  ; -0.501 ; Rise       ; clk             ;
2321
;  mbus_addr0_i[11] ; clk        ; 0.055  ; -0.468 ; Rise       ; clk             ;
2322
;  mbus_addr0_i[12] ; clk        ; 0.105  ; -0.437 ; Rise       ; clk             ;
2323
;  mbus_addr0_i[13] ; clk        ; 0.094  ; -0.439 ; Rise       ; clk             ;
2324
;  mbus_addr0_i[14] ; clk        ; 0.029  ; -0.520 ; Rise       ; clk             ;
2325
;  mbus_addr0_i[15] ; clk        ; 0.148  ; -0.409 ; Rise       ; clk             ;
2326
;  mbus_addr0_i[16] ; clk        ; 0.061  ; -0.472 ; Rise       ; clk             ;
2327
;  mbus_addr0_i[17] ; clk        ; -0.185 ; -0.393 ; Rise       ; clk             ;
2328
;  mbus_addr0_i[18] ; clk        ; 0.085  ; -0.422 ; Rise       ; clk             ;
2329
;  mbus_addr0_i[19] ; clk        ; 0.083  ; -0.438 ; Rise       ; clk             ;
2330
;  mbus_addr0_i[20] ; clk        ; 0.078  ; -0.446 ; Rise       ; clk             ;
2331
;  mbus_addr0_i[21] ; clk        ; 0.138  ; -0.399 ; Rise       ; clk             ;
2332
;  mbus_addr0_i[22] ; clk        ; 0.122  ; -0.410 ; Rise       ; clk             ;
2333
;  mbus_addr0_i[23] ; clk        ; 0.028  ; -0.509 ; Rise       ; clk             ;
2334
;  mbus_addr0_i[24] ; clk        ; -0.002 ; -0.552 ; Rise       ; clk             ;
2335
;  mbus_addr0_i[25] ; clk        ; 0.056  ; -0.475 ; Rise       ; clk             ;
2336
;  mbus_addr0_i[26] ; clk        ; 0.063  ; -0.479 ; Rise       ; clk             ;
2337
;  mbus_addr0_i[27] ; clk        ; 0.053  ; -0.479 ; Rise       ; clk             ;
2338
;  mbus_addr0_i[28] ; clk        ; 0.070  ; -0.469 ; Rise       ; clk             ;
2339
;  mbus_addr0_i[29] ; clk        ; 0.091  ; -0.455 ; Rise       ; clk             ;
2340
;  mbus_addr0_i[30] ; clk        ; 0.193  ; -0.321 ; Rise       ; clk             ;
2341
;  mbus_addr0_i[31] ; clk        ; 0.052  ; -0.488 ; Rise       ; clk             ;
2342
; mbus_addr1_i[*]   ; clk        ; 0.371  ; -0.118 ; Rise       ; clk             ;
2343
;  mbus_addr1_i[0]  ; clk        ; 0.131  ; -0.401 ; Rise       ; clk             ;
2344
;  mbus_addr1_i[1]  ; clk        ; 0.070  ; -0.478 ; Rise       ; clk             ;
2345
;  mbus_addr1_i[2]  ; clk        ; 0.075  ; -0.469 ; Rise       ; clk             ;
2346
;  mbus_addr1_i[3]  ; clk        ; 0.046  ; -0.499 ; Rise       ; clk             ;
2347
;  mbus_addr1_i[4]  ; clk        ; 0.207  ; -0.320 ; Rise       ; clk             ;
2348
;  mbus_addr1_i[5]  ; clk        ; 0.194  ; -0.350 ; Rise       ; clk             ;
2349
;  mbus_addr1_i[6]  ; clk        ; 0.058  ; -0.495 ; Rise       ; clk             ;
2350
;  mbus_addr1_i[7]  ; clk        ; 0.371  ; -0.118 ; Rise       ; clk             ;
2351
;  mbus_addr1_i[8]  ; clk        ; 0.073  ; -0.472 ; Rise       ; clk             ;
2352
;  mbus_addr1_i[9]  ; clk        ; 0.149  ; -0.384 ; Rise       ; clk             ;
2353
;  mbus_addr1_i[10] ; clk        ; 0.147  ; -0.347 ; Rise       ; clk             ;
2354
;  mbus_addr1_i[11] ; clk        ; 0.080  ; -0.438 ; Rise       ; clk             ;
2355
;  mbus_addr1_i[12] ; clk        ; 0.161  ; -0.350 ; Rise       ; clk             ;
2356
;  mbus_addr1_i[13] ; clk        ; 0.066  ; -0.457 ; Rise       ; clk             ;
2357
;  mbus_addr1_i[14] ; clk        ; 0.078  ; -0.453 ; Rise       ; clk             ;
2358
;  mbus_addr1_i[15] ; clk        ; 0.066  ; -0.456 ; Rise       ; clk             ;
2359
;  mbus_addr1_i[16] ; clk        ; 0.079  ; -0.456 ; Rise       ; clk             ;
2360
;  mbus_addr1_i[17] ; clk        ; -0.129 ; -0.345 ; Rise       ; clk             ;
2361
;  mbus_addr1_i[18] ; clk        ; -0.097 ; -0.307 ; Rise       ; clk             ;
2362
;  mbus_addr1_i[19] ; clk        ; 0.051  ; -0.483 ; Rise       ; clk             ;
2363
;  mbus_addr1_i[20] ; clk        ; 0.090  ; -0.441 ; Rise       ; clk             ;
2364
;  mbus_addr1_i[21] ; clk        ; 0.010  ; -0.510 ; Rise       ; clk             ;
2365
;  mbus_addr1_i[22] ; clk        ; 0.127  ; -0.393 ; Rise       ; clk             ;
2366
;  mbus_addr1_i[23] ; clk        ; 0.123  ; -0.427 ; Rise       ; clk             ;
2367
;  mbus_addr1_i[24] ; clk        ; 0.102  ; -0.438 ; Rise       ; clk             ;
2368
;  mbus_addr1_i[25] ; clk        ; 0.113  ; -0.409 ; Rise       ; clk             ;
2369
;  mbus_addr1_i[26] ; clk        ; 0.095  ; -0.456 ; Rise       ; clk             ;
2370
;  mbus_addr1_i[27] ; clk        ; 0.221  ; -0.298 ; Rise       ; clk             ;
2371
;  mbus_addr1_i[28] ; clk        ; 0.096  ; -0.423 ; Rise       ; clk             ;
2372
;  mbus_addr1_i[29] ; clk        ; 0.086  ; -0.433 ; Rise       ; clk             ;
2373
;  mbus_addr1_i[30] ; clk        ; 0.142  ; -0.386 ; Rise       ; clk             ;
2374
;  mbus_addr1_i[31] ; clk        ; 0.128  ; -0.403 ; Rise       ; clk             ;
2375
; mbus_addr2_i[*]   ; clk        ; 0.183  ; -0.294 ; Rise       ; clk             ;
2376
;  mbus_addr2_i[0]  ; clk        ; 0.071  ; -0.440 ; Rise       ; clk             ;
2377
;  mbus_addr2_i[1]  ; clk        ; 0.002  ; -0.539 ; Rise       ; clk             ;
2378
;  mbus_addr2_i[2]  ; clk        ; 0.097  ; -0.441 ; Rise       ; clk             ;
2379
;  mbus_addr2_i[3]  ; clk        ; 0.142  ; -0.415 ; Rise       ; clk             ;
2380
;  mbus_addr2_i[4]  ; clk        ; 0.145  ; -0.432 ; Rise       ; clk             ;
2381
;  mbus_addr2_i[5]  ; clk        ; 0.131  ; -0.421 ; Rise       ; clk             ;
2382
;  mbus_addr2_i[6]  ; clk        ; 0.133  ; -0.398 ; Rise       ; clk             ;
2383
;  mbus_addr2_i[7]  ; clk        ; 0.081  ; -0.415 ; Rise       ; clk             ;
2384
;  mbus_addr2_i[8]  ; clk        ; 0.055  ; -0.475 ; Rise       ; clk             ;
2385
;  mbus_addr2_i[9]  ; clk        ; 0.088  ; -0.445 ; Rise       ; clk             ;
2386
;  mbus_addr2_i[10] ; clk        ; 0.020  ; -0.531 ; Rise       ; clk             ;
2387
;  mbus_addr2_i[11] ; clk        ; 0.045  ; -0.504 ; Rise       ; clk             ;
2388
;  mbus_addr2_i[12] ; clk        ; 0.127  ; -0.445 ; Rise       ; clk             ;
2389
;  mbus_addr2_i[13] ; clk        ; 0.071  ; -0.484 ; Rise       ; clk             ;
2390
;  mbus_addr2_i[14] ; clk        ; 0.099  ; -0.431 ; Rise       ; clk             ;
2391
;  mbus_addr2_i[15] ; clk        ; 0.021  ; -0.533 ; Rise       ; clk             ;
2392
;  mbus_addr2_i[16] ; clk        ; 0.071  ; -0.472 ; Rise       ; clk             ;
2393
;  mbus_addr2_i[17] ; clk        ; 0.132  ; -0.432 ; Rise       ; clk             ;
2394
;  mbus_addr2_i[18] ; clk        ; 0.118  ; -0.421 ; Rise       ; clk             ;
2395
;  mbus_addr2_i[19] ; clk        ; -0.073 ; -0.294 ; Rise       ; clk             ;
2396
;  mbus_addr2_i[20] ; clk        ; 0.085  ; -0.412 ; Rise       ; clk             ;
2397
;  mbus_addr2_i[21] ; clk        ; 0.055  ; -0.467 ; Rise       ; clk             ;
2398
;  mbus_addr2_i[22] ; clk        ; 0.183  ; -0.365 ; Rise       ; clk             ;
2399
;  mbus_addr2_i[23] ; clk        ; 0.023  ; -0.531 ; Rise       ; clk             ;
2400
;  mbus_addr2_i[24] ; clk        ; 0.107  ; -0.437 ; Rise       ; clk             ;
2401
;  mbus_addr2_i[25] ; clk        ; 0.173  ; -0.382 ; Rise       ; clk             ;
2402
;  mbus_addr2_i[26] ; clk        ; 0.072  ; -0.476 ; Rise       ; clk             ;
2403
;  mbus_addr2_i[27] ; clk        ; 0.009  ; -0.540 ; Rise       ; clk             ;
2404
;  mbus_addr2_i[28] ; clk        ; 0.115  ; -0.412 ; Rise       ; clk             ;
2405
;  mbus_addr2_i[29] ; clk        ; 0.067  ; -0.483 ; Rise       ; clk             ;
2406
;  mbus_addr2_i[30] ; clk        ; 0.070  ; -0.440 ; Rise       ; clk             ;
2407
;  mbus_addr2_i[31] ; clk        ; -0.042 ; -0.606 ; Rise       ; clk             ;
2408
; mbus_addr3_i[*]   ; clk        ; 0.182  ; -0.336 ; Rise       ; clk             ;
2409
;  mbus_addr3_i[0]  ; clk        ; -0.004 ; -0.555 ; Rise       ; clk             ;
2410
;  mbus_addr3_i[1]  ; clk        ; 0.060  ; -0.482 ; Rise       ; clk             ;
2411
;  mbus_addr3_i[2]  ; clk        ; 0.035  ; -0.495 ; Rise       ; clk             ;
2412
;  mbus_addr3_i[3]  ; clk        ; 0.021  ; -0.522 ; Rise       ; clk             ;
2413
;  mbus_addr3_i[4]  ; clk        ; -0.007 ; -0.567 ; Rise       ; clk             ;
2414
;  mbus_addr3_i[5]  ; clk        ; 0.111  ; -0.430 ; Rise       ; clk             ;
2415
;  mbus_addr3_i[6]  ; clk        ; 0.015  ; -0.522 ; Rise       ; clk             ;
2416
;  mbus_addr3_i[7]  ; clk        ; 0.128  ; -0.390 ; Rise       ; clk             ;
2417
;  mbus_addr3_i[8]  ; clk        ; 0.042  ; -0.501 ; Rise       ; clk             ;
2418
;  mbus_addr3_i[9]  ; clk        ; 0.097  ; -0.432 ; Rise       ; clk             ;
2419
;  mbus_addr3_i[10] ; clk        ; 0.087  ; -0.453 ; Rise       ; clk             ;
2420
;  mbus_addr3_i[11] ; clk        ; 0.182  ; -0.336 ; Rise       ; clk             ;
2421
;  mbus_addr3_i[12] ; clk        ; 0.111  ; -0.435 ; Rise       ; clk             ;
2422
;  mbus_addr3_i[13] ; clk        ; 0.034  ; -0.485 ; Rise       ; clk             ;
2423
;  mbus_addr3_i[14] ; clk        ; 0.127  ; -0.415 ; Rise       ; clk             ;
2424
;  mbus_addr3_i[15] ; clk        ; 0.065  ; -0.462 ; Rise       ; clk             ;
2425
;  mbus_addr3_i[16] ; clk        ; 0.013  ; -0.500 ; Rise       ; clk             ;
2426
;  mbus_addr3_i[17] ; clk        ; 0.028  ; -0.508 ; Rise       ; clk             ;
2427
;  mbus_addr3_i[18] ; clk        ; 0.010  ; -0.535 ; Rise       ; clk             ;
2428
;  mbus_addr3_i[19] ; clk        ; 0.100  ; -0.424 ; Rise       ; clk             ;
2429
;  mbus_addr3_i[20] ; clk        ; 0.087  ; -0.459 ; Rise       ; clk             ;
2430
;  mbus_addr3_i[21] ; clk        ; 0.088  ; -0.445 ; Rise       ; clk             ;
2431
;  mbus_addr3_i[22] ; clk        ; 0.050  ; -0.481 ; Rise       ; clk             ;
2432
;  mbus_addr3_i[23] ; clk        ; 0.079  ; -0.470 ; Rise       ; clk             ;
2433
;  mbus_addr3_i[24] ; clk        ; -0.003 ; -0.569 ; Rise       ; clk             ;
2434
;  mbus_addr3_i[25] ; clk        ; 0.052  ; -0.473 ; Rise       ; clk             ;
2435
;  mbus_addr3_i[26] ; clk        ; 0.048  ; -0.523 ; Rise       ; clk             ;
2436
;  mbus_addr3_i[27] ; clk        ; 0.103  ; -0.405 ; Rise       ; clk             ;
2437
;  mbus_addr3_i[28] ; clk        ; 0.017  ; -0.516 ; Rise       ; clk             ;
2438
;  mbus_addr3_i[29] ; clk        ; 0.099  ; -0.417 ; Rise       ; clk             ;
2439
;  mbus_addr3_i[30] ; clk        ; 0.047  ; -0.500 ; Rise       ; clk             ;
2440
;  mbus_addr3_i[31] ; clk        ; 0.060  ; -0.511 ; Rise       ; clk             ;
2441
; mbus_cmd0_i[*]    ; clk        ; 0.186  ; -0.172 ; Rise       ; clk             ;
2442
;  mbus_cmd0_i[0]   ; clk        ; 0.163  ; -0.187 ; Rise       ; clk             ;
2443
;  mbus_cmd0_i[1]   ; clk        ; 0.106  ; -0.304 ; Rise       ; clk             ;
2444
;  mbus_cmd0_i[2]   ; clk        ; 0.186  ; -0.172 ; Rise       ; clk             ;
2445
; mbus_cmd1_i[*]    ; clk        ; 0.257  ; -0.211 ; Rise       ; clk             ;
2446
;  mbus_cmd1_i[0]   ; clk        ; 0.157  ; -0.374 ; Rise       ; clk             ;
2447
;  mbus_cmd1_i[1]   ; clk        ; 0.257  ; -0.211 ; Rise       ; clk             ;
2448
;  mbus_cmd1_i[2]   ; clk        ; 0.195  ; -0.329 ; Rise       ; clk             ;
2449
; mbus_cmd2_i[*]    ; clk        ; 0.195  ; -0.254 ; Rise       ; clk             ;
2450
;  mbus_cmd2_i[0]   ; clk        ; 0.157  ; -0.277 ; Rise       ; clk             ;
2451
;  mbus_cmd2_i[1]   ; clk        ; 0.195  ; -0.266 ; Rise       ; clk             ;
2452
;  mbus_cmd2_i[2]   ; clk        ; 0.154  ; -0.254 ; Rise       ; clk             ;
2453
; mbus_cmd3_i[*]    ; clk        ; 0.219  ; -0.213 ; Rise       ; clk             ;
2454
;  mbus_cmd3_i[0]   ; clk        ; 0.219  ; -0.213 ; Rise       ; clk             ;
2455
;  mbus_cmd3_i[1]   ; clk        ; 0.164  ; -0.308 ; Rise       ; clk             ;
2456
;  mbus_cmd3_i[2]   ; clk        ; 0.103  ; -0.361 ; Rise       ; clk             ;
2457
+-------------------+------------+--------+--------+------------+-----------------+
2458
 
2459
 
2460
+------------------------------------------------------------------------------+
2461
; Clock to Output Times                                                        ;
2462
+------------------+------------+-------+-------+------------+-----------------+
2463
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2464
+------------------+------------+-------+-------+------------+-----------------+
2465
; cbus_addr_o[*]   ; clk        ; 3.729 ; 3.742 ; Rise       ; clk             ;
2466
;  cbus_addr_o[0]  ; clk        ; 3.672 ; 3.667 ; Rise       ; clk             ;
2467
;  cbus_addr_o[1]  ; clk        ; 3.674 ; 3.687 ; Rise       ; clk             ;
2468
;  cbus_addr_o[2]  ; clk        ; 3.649 ; 3.644 ; Rise       ; clk             ;
2469
;  cbus_addr_o[3]  ; clk        ; 3.640 ; 3.635 ; Rise       ; clk             ;
2470
;  cbus_addr_o[4]  ; clk        ; 3.661 ; 3.656 ; Rise       ; clk             ;
2471
;  cbus_addr_o[5]  ; clk        ; 3.632 ; 3.627 ; Rise       ; clk             ;
2472
;  cbus_addr_o[6]  ; clk        ; 3.671 ; 3.666 ; Rise       ; clk             ;
2473
;  cbus_addr_o[7]  ; clk        ; 3.695 ; 3.708 ; Rise       ; clk             ;
2474
;  cbus_addr_o[8]  ; clk        ; 3.659 ; 3.672 ; Rise       ; clk             ;
2475
;  cbus_addr_o[9]  ; clk        ; 3.650 ; 3.645 ; Rise       ; clk             ;
2476
;  cbus_addr_o[10] ; clk        ; 3.684 ; 3.697 ; Rise       ; clk             ;
2477
;  cbus_addr_o[11] ; clk        ; 3.643 ; 3.638 ; Rise       ; clk             ;
2478
;  cbus_addr_o[12] ; clk        ; 3.729 ; 3.742 ; Rise       ; clk             ;
2479
;  cbus_addr_o[13] ; clk        ; 3.634 ; 3.629 ; Rise       ; clk             ;
2480
;  cbus_addr_o[14] ; clk        ; 3.669 ; 3.682 ; Rise       ; clk             ;
2481
;  cbus_addr_o[15] ; clk        ; 3.678 ; 3.691 ; Rise       ; clk             ;
2482
;  cbus_addr_o[16] ; clk        ; 3.683 ; 3.696 ; Rise       ; clk             ;
2483
;  cbus_addr_o[17] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
2484
;  cbus_addr_o[18] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
2485
;  cbus_addr_o[19] ; clk        ; 3.653 ; 3.648 ; Rise       ; clk             ;
2486
;  cbus_addr_o[20] ; clk        ; 3.659 ; 3.654 ; Rise       ; clk             ;
2487
;  cbus_addr_o[21] ; clk        ; 3.641 ; 3.636 ; Rise       ; clk             ;
2488
;  cbus_addr_o[22] ; clk        ; 3.659 ; 3.654 ; Rise       ; clk             ;
2489
;  cbus_addr_o[23] ; clk        ; 3.646 ; 3.641 ; Rise       ; clk             ;
2490
;  cbus_addr_o[24] ; clk        ; 3.654 ; 3.649 ; Rise       ; clk             ;
2491
;  cbus_addr_o[25] ; clk        ; 3.656 ; 3.649 ; Rise       ; clk             ;
2492
;  cbus_addr_o[26] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
2493
;  cbus_addr_o[27] ; clk        ; 3.681 ; 3.676 ; Rise       ; clk             ;
2494
;  cbus_addr_o[28] ; clk        ; 3.653 ; 3.648 ; Rise       ; clk             ;
2495
;  cbus_addr_o[29] ; clk        ; 3.674 ; 3.669 ; Rise       ; clk             ;
2496
;  cbus_addr_o[30] ; clk        ; 3.682 ; 3.695 ; Rise       ; clk             ;
2497
;  cbus_addr_o[31] ; clk        ; 3.643 ; 3.638 ; Rise       ; clk             ;
2498
; cbus_cmd0_o[*]   ; clk        ; 5.470 ; 5.504 ; Rise       ; clk             ;
2499
;  cbus_cmd0_o[0]  ; clk        ; 5.470 ; 5.266 ; Rise       ; clk             ;
2500
;  cbus_cmd0_o[1]  ; clk        ; 5.384 ; 5.504 ; Rise       ; clk             ;
2501
;  cbus_cmd0_o[2]  ; clk        ; 5.253 ; 5.437 ; Rise       ; clk             ;
2502
; cbus_cmd1_o[*]   ; clk        ; 5.562 ; 5.474 ; Rise       ; clk             ;
2503
;  cbus_cmd1_o[0]  ; clk        ; 5.562 ; 5.359 ; Rise       ; clk             ;
2504
;  cbus_cmd1_o[1]  ; clk        ; 5.382 ; 5.474 ; Rise       ; clk             ;
2505
;  cbus_cmd1_o[2]  ; clk        ; 5.227 ; 5.272 ; Rise       ; clk             ;
2506
; cbus_cmd2_o[*]   ; clk        ; 5.454 ; 5.506 ; Rise       ; clk             ;
2507
;  cbus_cmd2_o[0]  ; clk        ; 5.389 ; 5.178 ; Rise       ; clk             ;
2508
;  cbus_cmd2_o[1]  ; clk        ; 5.401 ; 5.494 ; Rise       ; clk             ;
2509
;  cbus_cmd2_o[2]  ; clk        ; 5.454 ; 5.506 ; Rise       ; clk             ;
2510
; cbus_cmd3_o[*]   ; clk        ; 5.311 ; 5.414 ; Rise       ; clk             ;
2511
;  cbus_cmd3_o[0]  ; clk        ; 5.311 ; 5.118 ; Rise       ; clk             ;
2512
;  cbus_cmd3_o[1]  ; clk        ; 5.180 ; 5.254 ; Rise       ; clk             ;
2513
;  cbus_cmd3_o[2]  ; clk        ; 5.216 ; 5.414 ; Rise       ; clk             ;
2514
; mbus_ack0_o      ; clk        ; 3.648 ; 3.643 ; Rise       ; clk             ;
2515
; mbus_ack1_o      ; clk        ; 3.654 ; 3.649 ; Rise       ; clk             ;
2516
; mbus_ack2_o      ; clk        ; 3.663 ; 3.676 ; Rise       ; clk             ;
2517
; mbus_ack3_o      ; clk        ; 3.671 ; 3.684 ; Rise       ; clk             ;
2518
+------------------+------------+-------+-------+------------+-----------------+
2519
 
2520
 
2521
+------------------------------------------------------------------------------+
2522
; Minimum Clock to Output Times                                                ;
2523
+------------------+------------+-------+-------+------------+-----------------+
2524
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2525
+------------------+------------+-------+-------+------------+-----------------+
2526
; cbus_addr_o[*]   ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
2527
;  cbus_addr_o[0]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
2528
;  cbus_addr_o[1]  ; clk        ; 3.599 ; 3.612 ; Rise       ; clk             ;
2529
;  cbus_addr_o[2]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
2530
;  cbus_addr_o[3]  ; clk        ; 3.565 ; 3.560 ; Rise       ; clk             ;
2531
;  cbus_addr_o[4]  ; clk        ; 3.587 ; 3.582 ; Rise       ; clk             ;
2532
;  cbus_addr_o[5]  ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
2533
;  cbus_addr_o[6]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
2534
;  cbus_addr_o[7]  ; clk        ; 3.621 ; 3.634 ; Rise       ; clk             ;
2535
;  cbus_addr_o[8]  ; clk        ; 3.586 ; 3.599 ; Rise       ; clk             ;
2536
;  cbus_addr_o[9]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
2537
;  cbus_addr_o[10] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
2538
;  cbus_addr_o[11] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
2539
;  cbus_addr_o[12] ; clk        ; 3.655 ; 3.668 ; Rise       ; clk             ;
2540
;  cbus_addr_o[13] ; clk        ; 3.559 ; 3.554 ; Rise       ; clk             ;
2541
;  cbus_addr_o[14] ; clk        ; 3.595 ; 3.608 ; Rise       ; clk             ;
2542
;  cbus_addr_o[15] ; clk        ; 3.605 ; 3.618 ; Rise       ; clk             ;
2543
;  cbus_addr_o[16] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
2544
;  cbus_addr_o[17] ; clk        ; 3.576 ; 3.571 ; Rise       ; clk             ;
2545
;  cbus_addr_o[18] ; clk        ; 3.577 ; 3.572 ; Rise       ; clk             ;
2546
;  cbus_addr_o[19] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
2547
;  cbus_addr_o[20] ; clk        ; 3.585 ; 3.580 ; Rise       ; clk             ;
2548
;  cbus_addr_o[21] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
2549
;  cbus_addr_o[22] ; clk        ; 3.584 ; 3.579 ; Rise       ; clk             ;
2550
;  cbus_addr_o[23] ; clk        ; 3.572 ; 3.567 ; Rise       ; clk             ;
2551
;  cbus_addr_o[24] ; clk        ; 3.580 ; 3.575 ; Rise       ; clk             ;
2552
;  cbus_addr_o[25] ; clk        ; 3.581 ; 3.574 ; Rise       ; clk             ;
2553
;  cbus_addr_o[26] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
2554
;  cbus_addr_o[27] ; clk        ; 3.606 ; 3.601 ; Rise       ; clk             ;
2555
;  cbus_addr_o[28] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
2556
;  cbus_addr_o[29] ; clk        ; 3.599 ; 3.594 ; Rise       ; clk             ;
2557
;  cbus_addr_o[30] ; clk        ; 3.608 ; 3.621 ; Rise       ; clk             ;
2558
;  cbus_addr_o[31] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
2559
; cbus_cmd0_o[*]   ; clk        ; 4.669 ; 4.778 ; Rise       ; clk             ;
2560
;  cbus_cmd0_o[0]  ; clk        ; 4.838 ; 4.778 ; Rise       ; clk             ;
2561
;  cbus_cmd0_o[1]  ; clk        ; 4.779 ; 4.946 ; Rise       ; clk             ;
2562
;  cbus_cmd0_o[2]  ; clk        ; 4.669 ; 4.820 ; Rise       ; clk             ;
2563
; cbus_cmd1_o[*]   ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
2564
;  cbus_cmd1_o[0]  ; clk        ; 5.035 ; 4.954 ; Rise       ; clk             ;
2565
;  cbus_cmd1_o[1]  ; clk        ; 4.821 ; 4.952 ; Rise       ; clk             ;
2566
;  cbus_cmd1_o[2]  ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
2567
; cbus_cmd2_o[*]   ; clk        ; 4.875 ; 4.830 ; Rise       ; clk             ;
2568
;  cbus_cmd2_o[0]  ; clk        ; 4.886 ; 4.830 ; Rise       ; clk             ;
2569
;  cbus_cmd2_o[1]  ; clk        ; 4.889 ; 5.045 ; Rise       ; clk             ;
2570
;  cbus_cmd2_o[2]  ; clk        ; 4.875 ; 4.927 ; Rise       ; clk             ;
2571
; cbus_cmd3_o[*]   ; clk        ; 4.709 ; 4.804 ; Rise       ; clk             ;
2572
;  cbus_cmd3_o[0]  ; clk        ; 4.899 ; 4.804 ; Rise       ; clk             ;
2573
;  cbus_cmd3_o[1]  ; clk        ; 4.709 ; 4.814 ; Rise       ; clk             ;
2574
;  cbus_cmd3_o[2]  ; clk        ; 4.727 ; 4.868 ; Rise       ; clk             ;
2575
; mbus_ack0_o      ; clk        ; 3.573 ; 3.568 ; Rise       ; clk             ;
2576
; mbus_ack1_o      ; clk        ; 3.579 ; 3.574 ; Rise       ; clk             ;
2577
; mbus_ack2_o      ; clk        ; 3.589 ; 3.602 ; Rise       ; clk             ;
2578
; mbus_ack3_o      ; clk        ; 3.597 ; 3.610 ; Rise       ; clk             ;
2579
+------------------+------------+-------+-------+------------+-----------------+
2580
 
2581
 
2582
---------------------------------------------
2583
; Fast 1200mV 0C Model Metastability Report ;
2584
---------------------------------------------
2585
No synchronizer chains to report.
2586
 
2587
 
2588
+----------------------------------------------------------------------------------+
2589
; Multicorner Timing Analysis Summary                                              ;
2590
+------------------+-----------+--------+----------+---------+---------------------+
2591
; Clock            ; Setup     ; Hold   ; Recovery ; Removal ; Minimum Pulse Width ;
2592
+------------------+-----------+--------+----------+---------+---------------------+
2593
; Worst-case Slack ; -8.340    ; -0.278 ; N/A      ; N/A     ; -3.000              ;
2594
;  clk             ; -8.340    ; -0.278 ; N/A      ; N/A     ; -3.000              ;
2595
; Design-wide TNS  ; -2724.862 ; -3.47  ; 0.0      ; 0.0     ; -651.247            ;
2596
;  clk             ; -2724.862 ; -3.470 ; N/A      ; N/A     ; -651.247            ;
2597
+------------------+-----------+--------+----------+---------+---------------------+
2598
 
2599
 
2600
+-------------------------------------------------------------------------------+
2601
; Setup Times                                                                   ;
2602
+-------------------+------------+-------+-------+------------+-----------------+
2603
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2604
+-------------------+------------+-------+-------+------------+-----------------+
2605
; cbus_ack0_i       ; clk        ; 0.517 ; 0.748 ; Rise       ; clk             ;
2606
; cbus_ack1_i       ; clk        ; 0.631 ; 0.820 ; Rise       ; clk             ;
2607
; cbus_ack2_i       ; clk        ; 0.850 ; 0.811 ; Rise       ; clk             ;
2608
; cbus_ack3_i       ; clk        ; 0.769 ; 0.740 ; Rise       ; clk             ;
2609
; mbus_addr0_i[*]   ; clk        ; 0.799 ; 0.938 ; Rise       ; clk             ;
2610
;  mbus_addr0_i[0]  ; clk        ; 0.644 ; 0.872 ; Rise       ; clk             ;
2611
;  mbus_addr0_i[1]  ; clk        ; 0.558 ; 0.779 ; Rise       ; clk             ;
2612
;  mbus_addr0_i[2]  ; clk        ; 0.651 ; 0.885 ; Rise       ; clk             ;
2613
;  mbus_addr0_i[3]  ; clk        ; 0.611 ; 0.829 ; Rise       ; clk             ;
2614
;  mbus_addr0_i[4]  ; clk        ; 0.662 ; 0.895 ; Rise       ; clk             ;
2615
;  mbus_addr0_i[5]  ; clk        ; 0.583 ; 0.800 ; Rise       ; clk             ;
2616
;  mbus_addr0_i[6]  ; clk        ; 0.630 ; 0.862 ; Rise       ; clk             ;
2617
;  mbus_addr0_i[7]  ; clk        ; 0.518 ; 0.868 ; Rise       ; clk             ;
2618
;  mbus_addr0_i[8]  ; clk        ; 0.528 ; 0.883 ; Rise       ; clk             ;
2619
;  mbus_addr0_i[9]  ; clk        ; 0.608 ; 0.833 ; Rise       ; clk             ;
2620
;  mbus_addr0_i[10] ; clk        ; 0.626 ; 0.835 ; Rise       ; clk             ;
2621
;  mbus_addr0_i[11] ; clk        ; 0.690 ; 0.871 ; Rise       ; clk             ;
2622
;  mbus_addr0_i[12] ; clk        ; 0.456 ; 0.742 ; Rise       ; clk             ;
2623
;  mbus_addr0_i[13] ; clk        ; 0.603 ; 0.781 ; Rise       ; clk             ;
2624
;  mbus_addr0_i[14] ; clk        ; 0.618 ; 0.854 ; Rise       ; clk             ;
2625
;  mbus_addr0_i[15] ; clk        ; 0.560 ; 0.938 ; Rise       ; clk             ;
2626
;  mbus_addr0_i[16] ; clk        ; 0.569 ; 0.788 ; Rise       ; clk             ;
2627
;  mbus_addr0_i[17] ; clk        ; 0.799 ; 0.824 ; Rise       ; clk             ;
2628
;  mbus_addr0_i[18] ; clk        ; 0.631 ; 0.882 ; Rise       ; clk             ;
2629
;  mbus_addr0_i[19] ; clk        ; 0.616 ; 0.845 ; Rise       ; clk             ;
2630
;  mbus_addr0_i[20] ; clk        ; 0.579 ; 0.807 ; Rise       ; clk             ;
2631
;  mbus_addr0_i[21] ; clk        ; 0.451 ; 0.745 ; Rise       ; clk             ;
2632
;  mbus_addr0_i[22] ; clk        ; 0.428 ; 0.709 ; Rise       ; clk             ;
2633
;  mbus_addr0_i[23] ; clk        ; 0.636 ; 0.846 ; Rise       ; clk             ;
2634
;  mbus_addr0_i[24] ; clk        ; 0.637 ; 0.864 ; Rise       ; clk             ;
2635
;  mbus_addr0_i[25] ; clk        ; 0.543 ; 0.802 ; Rise       ; clk             ;
2636
;  mbus_addr0_i[26] ; clk        ; 0.521 ; 0.784 ; Rise       ; clk             ;
2637
;  mbus_addr0_i[27] ; clk        ; 0.650 ; 0.888 ; Rise       ; clk             ;
2638
;  mbus_addr0_i[28] ; clk        ; 0.565 ; 0.761 ; Rise       ; clk             ;
2639
;  mbus_addr0_i[29] ; clk        ; 0.438 ; 0.740 ; Rise       ; clk             ;
2640
;  mbus_addr0_i[30] ; clk        ; 0.511 ; 0.853 ; Rise       ; clk             ;
2641
;  mbus_addr0_i[31] ; clk        ; 0.529 ; 0.756 ; Rise       ; clk             ;
2642
; mbus_addr1_i[*]   ; clk        ; 0.890 ; 1.090 ; Rise       ; clk             ;
2643
;  mbus_addr1_i[0]  ; clk        ; 0.549 ; 0.741 ; Rise       ; clk             ;
2644
;  mbus_addr1_i[1]  ; clk        ; 0.638 ; 0.900 ; Rise       ; clk             ;
2645
;  mbus_addr1_i[2]  ; clk        ; 0.543 ; 0.800 ; Rise       ; clk             ;
2646
;  mbus_addr1_i[3]  ; clk        ; 0.644 ; 0.883 ; Rise       ; clk             ;
2647
;  mbus_addr1_i[4]  ; clk        ; 0.517 ; 0.839 ; Rise       ; clk             ;
2648
;  mbus_addr1_i[5]  ; clk        ; 0.475 ; 0.818 ; Rise       ; clk             ;
2649
;  mbus_addr1_i[6]  ; clk        ; 0.715 ; 0.940 ; Rise       ; clk             ;
2650
;  mbus_addr1_i[7]  ; clk        ; 0.603 ; 0.934 ; Rise       ; clk             ;
2651
;  mbus_addr1_i[8]  ; clk        ; 0.549 ; 0.787 ; Rise       ; clk             ;
2652
;  mbus_addr1_i[9]  ; clk        ; 0.451 ; 0.769 ; Rise       ; clk             ;
2653
;  mbus_addr1_i[10] ; clk        ; 0.450 ; 0.760 ; Rise       ; clk             ;
2654
;  mbus_addr1_i[11] ; clk        ; 0.589 ; 0.838 ; Rise       ; clk             ;
2655
;  mbus_addr1_i[12] ; clk        ; 0.375 ; 0.670 ; Rise       ; clk             ;
2656
;  mbus_addr1_i[13] ; clk        ; 0.547 ; 0.781 ; Rise       ; clk             ;
2657
;  mbus_addr1_i[14] ; clk        ; 0.649 ; 0.823 ; Rise       ; clk             ;
2658
;  mbus_addr1_i[15] ; clk        ; 0.832 ; 1.040 ; Rise       ; clk             ;
2659
;  mbus_addr1_i[16] ; clk        ; 0.535 ; 0.778 ; Rise       ; clk             ;
2660
;  mbus_addr1_i[17] ; clk        ; 0.634 ; 0.728 ; Rise       ; clk             ;
2661
;  mbus_addr1_i[18] ; clk        ; 0.693 ; 0.783 ; Rise       ; clk             ;
2662
;  mbus_addr1_i[19] ; clk        ; 0.617 ; 0.793 ; Rise       ; clk             ;
2663
;  mbus_addr1_i[20] ; clk        ; 0.559 ; 0.810 ; Rise       ; clk             ;
2664
;  mbus_addr1_i[21] ; clk        ; 0.639 ; 0.795 ; Rise       ; clk             ;
2665
;  mbus_addr1_i[22] ; clk        ; 0.491 ; 0.696 ; Rise       ; clk             ;
2666
;  mbus_addr1_i[23] ; clk        ; 0.444 ; 0.746 ; Rise       ; clk             ;
2667
;  mbus_addr1_i[24] ; clk        ; 0.528 ; 0.763 ; Rise       ; clk             ;
2668
;  mbus_addr1_i[25] ; clk        ; 0.890 ; 1.090 ; Rise       ; clk             ;
2669
;  mbus_addr1_i[26] ; clk        ; 0.501 ; 0.818 ; Rise       ; clk             ;
2670
;  mbus_addr1_i[27] ; clk        ; 0.451 ; 0.721 ; Rise       ; clk             ;
2671
;  mbus_addr1_i[28] ; clk        ; 0.453 ; 0.655 ; Rise       ; clk             ;
2672
;  mbus_addr1_i[29] ; clk        ; 0.641 ; 0.894 ; Rise       ; clk             ;
2673
;  mbus_addr1_i[30] ; clk        ; 0.542 ; 0.828 ; Rise       ; clk             ;
2674
;  mbus_addr1_i[31] ; clk        ; 0.628 ; 0.873 ; Rise       ; clk             ;
2675
; mbus_addr2_i[*]   ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
2676
;  mbus_addr2_i[0]  ; clk        ; 0.560 ; 0.846 ; Rise       ; clk             ;
2677
;  mbus_addr2_i[1]  ; clk        ; 0.588 ; 0.802 ; Rise       ; clk             ;
2678
;  mbus_addr2_i[2]  ; clk        ; 0.568 ; 0.794 ; Rise       ; clk             ;
2679
;  mbus_addr2_i[3]  ; clk        ; 0.371 ; 0.687 ; Rise       ; clk             ;
2680
;  mbus_addr2_i[4]  ; clk        ; 0.409 ; 0.777 ; Rise       ; clk             ;
2681
;  mbus_addr2_i[5]  ; clk        ; 0.435 ; 0.748 ; Rise       ; clk             ;
2682
;  mbus_addr2_i[6]  ; clk        ; 0.476 ; 0.741 ; Rise       ; clk             ;
2683
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.694 ; Rise       ; clk             ;
2684
;  mbus_addr2_i[8]  ; clk        ; 0.459 ; 0.775 ; Rise       ; clk             ;
2685
;  mbus_addr2_i[9]  ; clk        ; 0.415 ; 0.702 ; Rise       ; clk             ;
2686
;  mbus_addr2_i[10] ; clk        ; 0.685 ; 0.918 ; Rise       ; clk             ;
2687
;  mbus_addr2_i[11] ; clk        ; 0.613 ; 0.857 ; Rise       ; clk             ;
2688
;  mbus_addr2_i[12] ; clk        ; 0.497 ; 0.854 ; Rise       ; clk             ;
2689
;  mbus_addr2_i[13] ; clk        ; 0.515 ; 0.852 ; Rise       ; clk             ;
2690
;  mbus_addr2_i[14] ; clk        ; 0.533 ; 0.780 ; Rise       ; clk             ;
2691
;  mbus_addr2_i[15] ; clk        ; 0.538 ; 0.787 ; Rise       ; clk             ;
2692
;  mbus_addr2_i[16] ; clk        ; 0.661 ; 0.868 ; Rise       ; clk             ;
2693
;  mbus_addr2_i[17] ; clk        ; 0.463 ; 0.790 ; Rise       ; clk             ;
2694
;  mbus_addr2_i[18] ; clk        ; 0.496 ; 0.821 ; Rise       ; clk             ;
2695
;  mbus_addr2_i[19] ; clk        ; 0.557 ; 0.732 ; Rise       ; clk             ;
2696
;  mbus_addr2_i[20] ; clk        ; 0.559 ; 0.661 ; Rise       ; clk             ;
2697
;  mbus_addr2_i[21] ; clk        ; 0.572 ; 0.802 ; Rise       ; clk             ;
2698
;  mbus_addr2_i[22] ; clk        ; 0.460 ; 0.790 ; Rise       ; clk             ;
2699
;  mbus_addr2_i[23] ; clk        ; 0.605 ; 0.863 ; Rise       ; clk             ;
2700
;  mbus_addr2_i[24] ; clk        ; 0.509 ; 0.724 ; Rise       ; clk             ;
2701
;  mbus_addr2_i[25] ; clk        ; 0.462 ; 0.818 ; Rise       ; clk             ;
2702
;  mbus_addr2_i[26] ; clk        ; 0.545 ; 0.805 ; Rise       ; clk             ;
2703
;  mbus_addr2_i[27] ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
2704
;  mbus_addr2_i[28] ; clk        ; 0.483 ; 0.829 ; Rise       ; clk             ;
2705
;  mbus_addr2_i[29] ; clk        ; 0.605 ; 0.872 ; Rise       ; clk             ;
2706
;  mbus_addr2_i[30] ; clk        ; 0.564 ; 0.774 ; Rise       ; clk             ;
2707
;  mbus_addr2_i[31] ; clk        ; 0.693 ; 0.961 ; Rise       ; clk             ;
2708
; mbus_addr3_i[*]   ; clk        ; 0.786 ; 1.045 ; Rise       ; clk             ;
2709
;  mbus_addr3_i[0]  ; clk        ; 0.629 ; 0.860 ; Rise       ; clk             ;
2710
;  mbus_addr3_i[1]  ; clk        ; 0.581 ; 0.796 ; Rise       ; clk             ;
2711
;  mbus_addr3_i[2]  ; clk        ; 0.621 ; 0.884 ; Rise       ; clk             ;
2712
;  mbus_addr3_i[3]  ; clk        ; 0.672 ; 0.844 ; Rise       ; clk             ;
2713
;  mbus_addr3_i[4]  ; clk        ; 0.669 ; 0.899 ; Rise       ; clk             ;
2714
;  mbus_addr3_i[5]  ; clk        ; 0.512 ; 0.843 ; Rise       ; clk             ;
2715
;  mbus_addr3_i[6]  ; clk        ; 0.570 ; 0.812 ; Rise       ; clk             ;
2716
;  mbus_addr3_i[7]  ; clk        ; 0.786 ; 1.045 ; Rise       ; clk             ;
2717
;  mbus_addr3_i[8]  ; clk        ; 0.591 ; 0.834 ; Rise       ; clk             ;
2718
;  mbus_addr3_i[9]  ; clk        ; 0.619 ; 0.824 ; Rise       ; clk             ;
2719
;  mbus_addr3_i[10] ; clk        ; 0.608 ; 0.858 ; Rise       ; clk             ;
2720
;  mbus_addr3_i[11] ; clk        ; 0.662 ; 0.889 ; Rise       ; clk             ;
2721
;  mbus_addr3_i[12] ; clk        ; 0.536 ; 0.869 ; Rise       ; clk             ;
2722
;  mbus_addr3_i[13] ; clk        ; 0.614 ; 0.800 ; Rise       ; clk             ;
2723
;  mbus_addr3_i[14] ; clk        ; 0.522 ; 0.814 ; Rise       ; clk             ;
2724
;  mbus_addr3_i[15] ; clk        ; 0.562 ; 0.781 ; Rise       ; clk             ;
2725
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.856 ; Rise       ; clk             ;
2726
;  mbus_addr3_i[17] ; clk        ; 0.577 ; 0.835 ; Rise       ; clk             ;
2727
;  mbus_addr3_i[18] ; clk        ; 0.655 ; 0.886 ; Rise       ; clk             ;
2728
;  mbus_addr3_i[19] ; clk        ; 0.679 ; 0.959 ; Rise       ; clk             ;
2729
;  mbus_addr3_i[20] ; clk        ; 0.615 ; 0.859 ; Rise       ; clk             ;
2730
;  mbus_addr3_i[21] ; clk        ; 0.555 ; 0.787 ; Rise       ; clk             ;
2731
;  mbus_addr3_i[22] ; clk        ; 0.647 ; 0.794 ; Rise       ; clk             ;
2732
;  mbus_addr3_i[23] ; clk        ; 0.526 ; 0.847 ; Rise       ; clk             ;
2733
;  mbus_addr3_i[24] ; clk        ; 0.603 ; 0.872 ; Rise       ; clk             ;
2734
;  mbus_addr3_i[25] ; clk        ; 0.515 ; 0.803 ; Rise       ; clk             ;
2735
;  mbus_addr3_i[26] ; clk        ; 0.613 ; 0.973 ; Rise       ; clk             ;
2736
;  mbus_addr3_i[27] ; clk        ; 0.716 ; 0.926 ; Rise       ; clk             ;
2737
;  mbus_addr3_i[28] ; clk        ; 0.586 ; 0.804 ; Rise       ; clk             ;
2738
;  mbus_addr3_i[29] ; clk        ; 0.730 ; 0.952 ; Rise       ; clk             ;
2739
;  mbus_addr3_i[30] ; clk        ; 0.514 ; 0.807 ; Rise       ; clk             ;
2740
;  mbus_addr3_i[31] ; clk        ; 0.535 ; 0.885 ; Rise       ; clk             ;
2741
; mbus_cmd0_i[*]    ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
2742
;  mbus_cmd0_i[0]   ; clk        ; 0.654 ; 0.838 ; Rise       ; clk             ;
2743
;  mbus_cmd0_i[1]   ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
2744
;  mbus_cmd0_i[2]   ; clk        ; 0.602 ; 0.752 ; Rise       ; clk             ;
2745
; mbus_cmd1_i[*]    ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
2746
;  mbus_cmd1_i[0]   ; clk        ; 0.731 ; 0.996 ; Rise       ; clk             ;
2747
;  mbus_cmd1_i[1]   ; clk        ; 0.621 ; 0.908 ; Rise       ; clk             ;
2748
;  mbus_cmd1_i[2]   ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
2749
; mbus_cmd2_i[*]    ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
2750
;  mbus_cmd2_i[0]   ; clk        ; 0.594 ; 0.775 ; Rise       ; clk             ;
2751
;  mbus_cmd2_i[1]   ; clk        ; 0.633 ; 0.735 ; Rise       ; clk             ;
2752
;  mbus_cmd2_i[2]   ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
2753
; mbus_cmd3_i[*]    ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
2754
;  mbus_cmd3_i[0]   ; clk        ; 0.630 ; 0.950 ; Rise       ; clk             ;
2755
;  mbus_cmd3_i[1]   ; clk        ; 0.642 ; 0.863 ; Rise       ; clk             ;
2756
;  mbus_cmd3_i[2]   ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
2757
+-------------------+------------+-------+-------+------------+-----------------+
2758
 
2759
 
2760
+---------------------------------------------------------------------------------+
2761
; Hold Times                                                                      ;
2762
+-------------------+------------+--------+--------+------------+-----------------+
2763
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
2764
+-------------------+------------+--------+--------+------------+-----------------+
2765
; cbus_ack0_i       ; clk        ; 0.154  ; -0.198 ; Rise       ; clk             ;
2766
; cbus_ack1_i       ; clk        ; 0.123  ; -0.265 ; Rise       ; clk             ;
2767
; cbus_ack2_i       ; clk        ; -0.133 ; -0.341 ; Rise       ; clk             ;
2768
; cbus_ack3_i       ; clk        ; -0.062 ; -0.135 ; Rise       ; clk             ;
2769
; mbus_addr0_i[*]   ; clk        ; 0.193  ; -0.103 ; Rise       ; clk             ;
2770
;  mbus_addr0_i[0]  ; clk        ; 0.011  ; -0.372 ; Rise       ; clk             ;
2771
;  mbus_addr0_i[1]  ; clk        ; 0.063  ; -0.265 ; Rise       ; clk             ;
2772
;  mbus_addr0_i[2]  ; clk        ; 0.074  ; -0.265 ; Rise       ; clk             ;
2773
;  mbus_addr0_i[3]  ; clk        ; 0.055  ; -0.267 ; Rise       ; clk             ;
2774
;  mbus_addr0_i[4]  ; clk        ; 0.037  ; -0.296 ; Rise       ; clk             ;
2775
;  mbus_addr0_i[5]  ; clk        ; 0.017  ; -0.338 ; Rise       ; clk             ;
2776
;  mbus_addr0_i[6]  ; clk        ; 0.006  ; -0.358 ; Rise       ; clk             ;
2777
;  mbus_addr0_i[7]  ; clk        ; 0.184  ; -0.200 ; Rise       ; clk             ;
2778
;  mbus_addr0_i[8]  ; clk        ; 0.158  ; -0.171 ; Rise       ; clk             ;
2779
;  mbus_addr0_i[9]  ; clk        ; 0.063  ; -0.241 ; Rise       ; clk             ;
2780
;  mbus_addr0_i[10] ; clk        ; 0.019  ; -0.330 ; Rise       ; clk             ;
2781
;  mbus_addr0_i[11] ; clk        ; 0.055  ; -0.325 ; Rise       ; clk             ;
2782
;  mbus_addr0_i[12] ; clk        ; 0.105  ; -0.251 ; Rise       ; clk             ;
2783
;  mbus_addr0_i[13] ; clk        ; 0.094  ; -0.250 ; Rise       ; clk             ;
2784
;  mbus_addr0_i[14] ; clk        ; 0.029  ; -0.319 ; Rise       ; clk             ;
2785
;  mbus_addr0_i[15] ; clk        ; 0.148  ; -0.201 ; Rise       ; clk             ;
2786
;  mbus_addr0_i[16] ; clk        ; 0.061  ; -0.268 ; Rise       ; clk             ;
2787
;  mbus_addr0_i[17] ; clk        ; -0.185 ; -0.322 ; Rise       ; clk             ;
2788
;  mbus_addr0_i[18] ; clk        ; 0.085  ; -0.219 ; Rise       ; clk             ;
2789
;  mbus_addr0_i[19] ; clk        ; 0.083  ; -0.222 ; Rise       ; clk             ;
2790
;  mbus_addr0_i[20] ; clk        ; 0.078  ; -0.215 ; Rise       ; clk             ;
2791
;  mbus_addr0_i[21] ; clk        ; 0.138  ; -0.181 ; Rise       ; clk             ;
2792
;  mbus_addr0_i[22] ; clk        ; 0.122  ; -0.231 ; Rise       ; clk             ;
2793
;  mbus_addr0_i[23] ; clk        ; 0.028  ; -0.291 ; Rise       ; clk             ;
2794
;  mbus_addr0_i[24] ; clk        ; -0.002 ; -0.372 ; Rise       ; clk             ;
2795
;  mbus_addr0_i[25] ; clk        ; 0.056  ; -0.237 ; Rise       ; clk             ;
2796
;  mbus_addr0_i[26] ; clk        ; 0.063  ; -0.256 ; Rise       ; clk             ;
2797
;  mbus_addr0_i[27] ; clk        ; 0.053  ; -0.266 ; Rise       ; clk             ;
2798
;  mbus_addr0_i[28] ; clk        ; 0.070  ; -0.251 ; Rise       ; clk             ;
2799
;  mbus_addr0_i[29] ; clk        ; 0.091  ; -0.257 ; Rise       ; clk             ;
2800
;  mbus_addr0_i[30] ; clk        ; 0.193  ; -0.103 ; Rise       ; clk             ;
2801
;  mbus_addr0_i[31] ; clk        ; 0.052  ; -0.301 ; Rise       ; clk             ;
2802
; mbus_addr1_i[*]   ; clk        ; 0.378  ; 0.156  ; Rise       ; clk             ;
2803
;  mbus_addr1_i[0]  ; clk        ; 0.131  ; -0.242 ; Rise       ; clk             ;
2804
;  mbus_addr1_i[1]  ; clk        ; 0.070  ; -0.320 ; Rise       ; clk             ;
2805
;  mbus_addr1_i[2]  ; clk        ; 0.075  ; -0.323 ; Rise       ; clk             ;
2806
;  mbus_addr1_i[3]  ; clk        ; 0.046  ; -0.331 ; Rise       ; clk             ;
2807
;  mbus_addr1_i[4]  ; clk        ; 0.207  ; -0.126 ; Rise       ; clk             ;
2808
;  mbus_addr1_i[5]  ; clk        ; 0.194  ; -0.146 ; Rise       ; clk             ;
2809
;  mbus_addr1_i[6]  ; clk        ; 0.058  ; -0.324 ; Rise       ; clk             ;
2810
;  mbus_addr1_i[7]  ; clk        ; 0.378  ; 0.156  ; Rise       ; clk             ;
2811
;  mbus_addr1_i[8]  ; clk        ; 0.073  ; -0.286 ; Rise       ; clk             ;
2812
;  mbus_addr1_i[9]  ; clk        ; 0.149  ; -0.191 ; Rise       ; clk             ;
2813
;  mbus_addr1_i[10] ; clk        ; 0.147  ; -0.183 ; Rise       ; clk             ;
2814
;  mbus_addr1_i[11] ; clk        ; 0.080  ; -0.245 ; Rise       ; clk             ;
2815
;  mbus_addr1_i[12] ; clk        ; 0.161  ; -0.160 ; Rise       ; clk             ;
2816
;  mbus_addr1_i[13] ; clk        ; 0.066  ; -0.287 ; Rise       ; clk             ;
2817
;  mbus_addr1_i[14] ; clk        ; 0.078  ; -0.266 ; Rise       ; clk             ;
2818
;  mbus_addr1_i[15] ; clk        ; 0.066  ; -0.264 ; Rise       ; clk             ;
2819
;  mbus_addr1_i[16] ; clk        ; 0.079  ; -0.279 ; Rise       ; clk             ;
2820
;  mbus_addr1_i[17] ; clk        ; -0.129 ; -0.318 ; Rise       ; clk             ;
2821
;  mbus_addr1_i[18] ; clk        ; -0.097 ; -0.292 ; Rise       ; clk             ;
2822
;  mbus_addr1_i[19] ; clk        ; 0.051  ; -0.308 ; Rise       ; clk             ;
2823
;  mbus_addr1_i[20] ; clk        ; 0.090  ; -0.251 ; Rise       ; clk             ;
2824
;  mbus_addr1_i[21] ; clk        ; 0.010  ; -0.350 ; Rise       ; clk             ;
2825
;  mbus_addr1_i[22] ; clk        ; 0.127  ; -0.173 ; Rise       ; clk             ;
2826
;  mbus_addr1_i[23] ; clk        ; 0.123  ; -0.260 ; Rise       ; clk             ;
2827
;  mbus_addr1_i[24] ; clk        ; 0.102  ; -0.268 ; Rise       ; clk             ;
2828
;  mbus_addr1_i[25] ; clk        ; 0.113  ; -0.196 ; Rise       ; clk             ;
2829
;  mbus_addr1_i[26] ; clk        ; 0.095  ; -0.291 ; Rise       ; clk             ;
2830
;  mbus_addr1_i[27] ; clk        ; 0.221  ; -0.100 ; Rise       ; clk             ;
2831
;  mbus_addr1_i[28] ; clk        ; 0.096  ; -0.203 ; Rise       ; clk             ;
2832
;  mbus_addr1_i[29] ; clk        ; 0.086  ; -0.252 ; Rise       ; clk             ;
2833
;  mbus_addr1_i[30] ; clk        ; 0.142  ; -0.170 ; Rise       ; clk             ;
2834
;  mbus_addr1_i[31] ; clk        ; 0.128  ; -0.188 ; Rise       ; clk             ;
2835
; mbus_addr2_i[*]   ; clk        ; 0.183  ; -0.157 ; Rise       ; clk             ;
2836
;  mbus_addr2_i[0]  ; clk        ; 0.071  ; -0.233 ; Rise       ; clk             ;
2837
;  mbus_addr2_i[1]  ; clk        ; 0.002  ; -0.324 ; Rise       ; clk             ;
2838
;  mbus_addr2_i[2]  ; clk        ; 0.097  ; -0.249 ; Rise       ; clk             ;
2839
;  mbus_addr2_i[3]  ; clk        ; 0.142  ; -0.211 ; Rise       ; clk             ;
2840
;  mbus_addr2_i[4]  ; clk        ; 0.145  ; -0.234 ; Rise       ; clk             ;
2841
;  mbus_addr2_i[5]  ; clk        ; 0.131  ; -0.269 ; Rise       ; clk             ;
2842
;  mbus_addr2_i[6]  ; clk        ; 0.133  ; -0.226 ; Rise       ; clk             ;
2843
;  mbus_addr2_i[7]  ; clk        ; 0.081  ; -0.167 ; Rise       ; clk             ;
2844
;  mbus_addr2_i[8]  ; clk        ; 0.055  ; -0.274 ; Rise       ; clk             ;
2845
;  mbus_addr2_i[9]  ; clk        ; 0.088  ; -0.250 ; Rise       ; clk             ;
2846
;  mbus_addr2_i[10] ; clk        ; 0.020  ; -0.337 ; Rise       ; clk             ;
2847
;  mbus_addr2_i[11] ; clk        ; 0.045  ; -0.347 ; Rise       ; clk             ;
2848
;  mbus_addr2_i[12] ; clk        ; 0.127  ; -0.253 ; Rise       ; clk             ;
2849
;  mbus_addr2_i[13] ; clk        ; 0.071  ; -0.336 ; Rise       ; clk             ;
2850
;  mbus_addr2_i[14] ; clk        ; 0.099  ; -0.253 ; Rise       ; clk             ;
2851
;  mbus_addr2_i[15] ; clk        ; 0.021  ; -0.334 ; Rise       ; clk             ;
2852
;  mbus_addr2_i[16] ; clk        ; 0.071  ; -0.271 ; Rise       ; clk             ;
2853
;  mbus_addr2_i[17] ; clk        ; 0.132  ; -0.274 ; Rise       ; clk             ;
2854
;  mbus_addr2_i[18] ; clk        ; 0.118  ; -0.254 ; Rise       ; clk             ;
2855
;  mbus_addr2_i[19] ; clk        ; -0.073 ; -0.245 ; Rise       ; clk             ;
2856
;  mbus_addr2_i[20] ; clk        ; 0.085  ; -0.209 ; Rise       ; clk             ;
2857
;  mbus_addr2_i[21] ; clk        ; 0.055  ; -0.331 ; Rise       ; clk             ;
2858
;  mbus_addr2_i[22] ; clk        ; 0.183  ; -0.161 ; Rise       ; clk             ;
2859
;  mbus_addr2_i[23] ; clk        ; 0.023  ; -0.387 ; Rise       ; clk             ;
2860
;  mbus_addr2_i[24] ; clk        ; 0.107  ; -0.271 ; Rise       ; clk             ;
2861
;  mbus_addr2_i[25] ; clk        ; 0.173  ; -0.157 ; Rise       ; clk             ;
2862
;  mbus_addr2_i[26] ; clk        ; 0.072  ; -0.338 ; Rise       ; clk             ;
2863
;  mbus_addr2_i[27] ; clk        ; 0.009  ; -0.388 ; Rise       ; clk             ;
2864
;  mbus_addr2_i[28] ; clk        ; 0.115  ; -0.266 ; Rise       ; clk             ;
2865
;  mbus_addr2_i[29] ; clk        ; 0.067  ; -0.329 ; Rise       ; clk             ;
2866
;  mbus_addr2_i[30] ; clk        ; 0.070  ; -0.247 ; Rise       ; clk             ;
2867
;  mbus_addr2_i[31] ; clk        ; -0.042 ; -0.471 ; Rise       ; clk             ;
2868
; mbus_addr3_i[*]   ; clk        ; 0.182  ; -0.116 ; Rise       ; clk             ;
2869
;  mbus_addr3_i[0]  ; clk        ; -0.004 ; -0.379 ; Rise       ; clk             ;
2870
;  mbus_addr3_i[1]  ; clk        ; 0.060  ; -0.254 ; Rise       ; clk             ;
2871
;  mbus_addr3_i[2]  ; clk        ; 0.035  ; -0.324 ; Rise       ; clk             ;
2872
;  mbus_addr3_i[3]  ; clk        ; 0.021  ; -0.366 ; Rise       ; clk             ;
2873
;  mbus_addr3_i[4]  ; clk        ; -0.007 ; -0.424 ; Rise       ; clk             ;
2874
;  mbus_addr3_i[5]  ; clk        ; 0.111  ; -0.210 ; Rise       ; clk             ;
2875
;  mbus_addr3_i[6]  ; clk        ; 0.015  ; -0.341 ; Rise       ; clk             ;
2876
;  mbus_addr3_i[7]  ; clk        ; 0.128  ; -0.200 ; Rise       ; clk             ;
2877
;  mbus_addr3_i[8]  ; clk        ; 0.042  ; -0.344 ; Rise       ; clk             ;
2878
;  mbus_addr3_i[9]  ; clk        ; 0.097  ; -0.261 ; Rise       ; clk             ;
2879
;  mbus_addr3_i[10] ; clk        ; 0.087  ; -0.265 ; Rise       ; clk             ;
2880
;  mbus_addr3_i[11] ; clk        ; 0.182  ; -0.116 ; Rise       ; clk             ;
2881
;  mbus_addr3_i[12] ; clk        ; 0.111  ; -0.220 ; Rise       ; clk             ;
2882
;  mbus_addr3_i[13] ; clk        ; 0.034  ; -0.304 ; Rise       ; clk             ;
2883
;  mbus_addr3_i[14] ; clk        ; 0.127  ; -0.251 ; Rise       ; clk             ;
2884
;  mbus_addr3_i[15] ; clk        ; 0.065  ; -0.274 ; Rise       ; clk             ;
2885
;  mbus_addr3_i[16] ; clk        ; 0.013  ; -0.282 ; Rise       ; clk             ;
2886
;  mbus_addr3_i[17] ; clk        ; 0.028  ; -0.336 ; Rise       ; clk             ;
2887
;  mbus_addr3_i[18] ; clk        ; 0.010  ; -0.333 ; Rise       ; clk             ;
2888
;  mbus_addr3_i[19] ; clk        ; 0.100  ; -0.265 ; Rise       ; clk             ;
2889
;  mbus_addr3_i[20] ; clk        ; 0.087  ; -0.250 ; Rise       ; clk             ;
2890
;  mbus_addr3_i[21] ; clk        ; 0.088  ; -0.267 ; Rise       ; clk             ;
2891
;  mbus_addr3_i[22] ; clk        ; 0.050  ; -0.310 ; Rise       ; clk             ;
2892
;  mbus_addr3_i[23] ; clk        ; 0.079  ; -0.260 ; Rise       ; clk             ;
2893
;  mbus_addr3_i[24] ; clk        ; -0.003 ; -0.396 ; Rise       ; clk             ;
2894
;  mbus_addr3_i[25] ; clk        ; 0.052  ; -0.317 ; Rise       ; clk             ;
2895
;  mbus_addr3_i[26] ; clk        ; 0.048  ; -0.354 ; Rise       ; clk             ;
2896
;  mbus_addr3_i[27] ; clk        ; 0.103  ; -0.232 ; Rise       ; clk             ;
2897
;  mbus_addr3_i[28] ; clk        ; 0.017  ; -0.335 ; Rise       ; clk             ;
2898
;  mbus_addr3_i[29] ; clk        ; 0.099  ; -0.226 ; Rise       ; clk             ;
2899
;  mbus_addr3_i[30] ; clk        ; 0.047  ; -0.333 ; Rise       ; clk             ;
2900
;  mbus_addr3_i[31] ; clk        ; 0.060  ; -0.373 ; Rise       ; clk             ;
2901
; mbus_cmd0_i[*]    ; clk        ; 0.186  ; -0.071 ; Rise       ; clk             ;
2902
;  mbus_cmd0_i[0]   ; clk        ; 0.163  ; -0.096 ; Rise       ; clk             ;
2903
;  mbus_cmd0_i[1]   ; clk        ; 0.106  ; -0.258 ; Rise       ; clk             ;
2904
;  mbus_cmd0_i[2]   ; clk        ; 0.186  ; -0.071 ; Rise       ; clk             ;
2905
; mbus_cmd1_i[*]    ; clk        ; 0.257  ; -0.047 ; Rise       ; clk             ;
2906
;  mbus_cmd1_i[0]   ; clk        ; 0.157  ; -0.238 ; Rise       ; clk             ;
2907
;  mbus_cmd1_i[1]   ; clk        ; 0.257  ; -0.047 ; Rise       ; clk             ;
2908
;  mbus_cmd1_i[2]   ; clk        ; 0.195  ; -0.181 ; Rise       ; clk             ;
2909
; mbus_cmd2_i[*]    ; clk        ; 0.195  ; -0.103 ; Rise       ; clk             ;
2910
;  mbus_cmd2_i[0]   ; clk        ; 0.157  ; -0.159 ; Rise       ; clk             ;
2911
;  mbus_cmd2_i[1]   ; clk        ; 0.195  ; -0.103 ; Rise       ; clk             ;
2912
;  mbus_cmd2_i[2]   ; clk        ; 0.154  ; -0.196 ; Rise       ; clk             ;
2913
; mbus_cmd3_i[*]    ; clk        ; 0.219  ; -0.121 ; Rise       ; clk             ;
2914
;  mbus_cmd3_i[0]   ; clk        ; 0.219  ; -0.121 ; Rise       ; clk             ;
2915
;  mbus_cmd3_i[1]   ; clk        ; 0.164  ; -0.208 ; Rise       ; clk             ;
2916
;  mbus_cmd3_i[2]   ; clk        ; 0.103  ; -0.352 ; Rise       ; clk             ;
2917
+-------------------+------------+--------+--------+------------+-----------------+
2918
 
2919
 
2920
+------------------------------------------------------------------------------+
2921
; Clock to Output Times                                                        ;
2922
+------------------+------------+-------+-------+------------+-----------------+
2923
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2924
+------------------+------------+-------+-------+------------+-----------------+
2925
; cbus_addr_o[*]   ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
2926
;  cbus_addr_o[0]  ; clk        ; 6.025 ; 5.982 ; Rise       ; clk             ;
2927
;  cbus_addr_o[1]  ; clk        ; 6.050 ; 6.007 ; Rise       ; clk             ;
2928
;  cbus_addr_o[2]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
2929
;  cbus_addr_o[3]  ; clk        ; 5.992 ; 5.949 ; Rise       ; clk             ;
2930
;  cbus_addr_o[4]  ; clk        ; 6.014 ; 5.971 ; Rise       ; clk             ;
2931
;  cbus_addr_o[5]  ; clk        ; 5.986 ; 5.943 ; Rise       ; clk             ;
2932
;  cbus_addr_o[6]  ; clk        ; 6.024 ; 5.981 ; Rise       ; clk             ;
2933
;  cbus_addr_o[7]  ; clk        ; 6.071 ; 6.028 ; Rise       ; clk             ;
2934
;  cbus_addr_o[8]  ; clk        ; 6.037 ; 5.994 ; Rise       ; clk             ;
2935
;  cbus_addr_o[9]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
2936
;  cbus_addr_o[10] ; clk        ; 6.060 ; 6.017 ; Rise       ; clk             ;
2937
;  cbus_addr_o[11] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
2938
;  cbus_addr_o[12] ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
2939
;  cbus_addr_o[13] ; clk        ; 5.987 ; 5.944 ; Rise       ; clk             ;
2940
;  cbus_addr_o[14] ; clk        ; 6.046 ; 6.003 ; Rise       ; clk             ;
2941
;  cbus_addr_o[15] ; clk        ; 6.055 ; 6.012 ; Rise       ; clk             ;
2942
;  cbus_addr_o[16] ; clk        ; 6.058 ; 6.015 ; Rise       ; clk             ;
2943
;  cbus_addr_o[17] ; clk        ; 6.003 ; 5.960 ; Rise       ; clk             ;
2944
;  cbus_addr_o[18] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
2945
;  cbus_addr_o[19] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
2946
;  cbus_addr_o[20] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
2947
;  cbus_addr_o[21] ; clk        ; 5.994 ; 5.951 ; Rise       ; clk             ;
2948
;  cbus_addr_o[22] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
2949
;  cbus_addr_o[23] ; clk        ; 5.999 ; 5.956 ; Rise       ; clk             ;
2950
;  cbus_addr_o[24] ; clk        ; 6.006 ; 5.963 ; Rise       ; clk             ;
2951
;  cbus_addr_o[25] ; clk        ; 5.993 ; 5.957 ; Rise       ; clk             ;
2952
;  cbus_addr_o[26] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
2953
;  cbus_addr_o[27] ; clk        ; 6.033 ; 5.990 ; Rise       ; clk             ;
2954
;  cbus_addr_o[28] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
2955
;  cbus_addr_o[29] ; clk        ; 6.027 ; 5.984 ; Rise       ; clk             ;
2956
;  cbus_addr_o[30] ; clk        ; 6.059 ; 6.016 ; Rise       ; clk             ;
2957
;  cbus_addr_o[31] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
2958
; cbus_cmd0_o[*]   ; clk        ; 9.110 ; 9.097 ; Rise       ; clk             ;
2959
;  cbus_cmd0_o[0]  ; clk        ; 9.110 ; 8.961 ; Rise       ; clk             ;
2960
;  cbus_cmd0_o[1]  ; clk        ; 9.095 ; 9.097 ; Rise       ; clk             ;
2961
;  cbus_cmd0_o[2]  ; clk        ; 8.955 ; 9.012 ; Rise       ; clk             ;
2962
; cbus_cmd1_o[*]   ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
2963
;  cbus_cmd1_o[0]  ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
2964
;  cbus_cmd1_o[1]  ; clk        ; 9.105 ; 9.047 ; Rise       ; clk             ;
2965
;  cbus_cmd1_o[2]  ; clk        ; 8.844 ; 8.748 ; Rise       ; clk             ;
2966
; cbus_cmd2_o[*]   ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
2967
;  cbus_cmd2_o[0]  ; clk        ; 8.900 ; 8.767 ; Rise       ; clk             ;
2968
;  cbus_cmd2_o[1]  ; clk        ; 9.119 ; 9.092 ; Rise       ; clk             ;
2969
;  cbus_cmd2_o[2]  ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
2970
; cbus_cmd3_o[*]   ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
2971
;  cbus_cmd3_o[0]  ; clk        ; 8.823 ; 8.697 ; Rise       ; clk             ;
2972
;  cbus_cmd3_o[1]  ; clk        ; 8.786 ; 8.716 ; Rise       ; clk             ;
2973
;  cbus_cmd3_o[2]  ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
2974
; mbus_ack0_o      ; clk        ; 6.000 ; 5.957 ; Rise       ; clk             ;
2975
; mbus_ack1_o      ; clk        ; 6.008 ; 5.965 ; Rise       ; clk             ;
2976
; mbus_ack2_o      ; clk        ; 6.040 ; 5.997 ; Rise       ; clk             ;
2977
; mbus_ack3_o      ; clk        ; 6.047 ; 6.004 ; Rise       ; clk             ;
2978
+------------------+------------+-------+-------+------------+-----------------+
2979
 
2980
 
2981
+------------------------------------------------------------------------------+
2982
; Minimum Clock to Output Times                                                ;
2983
+------------------+------------+-------+-------+------------+-----------------+
2984
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
2985
+------------------+------------+-------+-------+------------+-----------------+
2986
; cbus_addr_o[*]   ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
2987
;  cbus_addr_o[0]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
2988
;  cbus_addr_o[1]  ; clk        ; 3.599 ; 3.612 ; Rise       ; clk             ;
2989
;  cbus_addr_o[2]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
2990
;  cbus_addr_o[3]  ; clk        ; 3.565 ; 3.560 ; Rise       ; clk             ;
2991
;  cbus_addr_o[4]  ; clk        ; 3.587 ; 3.582 ; Rise       ; clk             ;
2992
;  cbus_addr_o[5]  ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
2993
;  cbus_addr_o[6]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
2994
;  cbus_addr_o[7]  ; clk        ; 3.621 ; 3.634 ; Rise       ; clk             ;
2995
;  cbus_addr_o[8]  ; clk        ; 3.586 ; 3.599 ; Rise       ; clk             ;
2996
;  cbus_addr_o[9]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
2997
;  cbus_addr_o[10] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
2998
;  cbus_addr_o[11] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
2999
;  cbus_addr_o[12] ; clk        ; 3.655 ; 3.668 ; Rise       ; clk             ;
3000
;  cbus_addr_o[13] ; clk        ; 3.559 ; 3.554 ; Rise       ; clk             ;
3001
;  cbus_addr_o[14] ; clk        ; 3.595 ; 3.608 ; Rise       ; clk             ;
3002
;  cbus_addr_o[15] ; clk        ; 3.605 ; 3.618 ; Rise       ; clk             ;
3003
;  cbus_addr_o[16] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
3004
;  cbus_addr_o[17] ; clk        ; 3.576 ; 3.571 ; Rise       ; clk             ;
3005
;  cbus_addr_o[18] ; clk        ; 3.577 ; 3.572 ; Rise       ; clk             ;
3006
;  cbus_addr_o[19] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
3007
;  cbus_addr_o[20] ; clk        ; 3.585 ; 3.580 ; Rise       ; clk             ;
3008
;  cbus_addr_o[21] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
3009
;  cbus_addr_o[22] ; clk        ; 3.584 ; 3.579 ; Rise       ; clk             ;
3010
;  cbus_addr_o[23] ; clk        ; 3.572 ; 3.567 ; Rise       ; clk             ;
3011
;  cbus_addr_o[24] ; clk        ; 3.580 ; 3.575 ; Rise       ; clk             ;
3012
;  cbus_addr_o[25] ; clk        ; 3.581 ; 3.574 ; Rise       ; clk             ;
3013
;  cbus_addr_o[26] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
3014
;  cbus_addr_o[27] ; clk        ; 3.606 ; 3.601 ; Rise       ; clk             ;
3015
;  cbus_addr_o[28] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
3016
;  cbus_addr_o[29] ; clk        ; 3.599 ; 3.594 ; Rise       ; clk             ;
3017
;  cbus_addr_o[30] ; clk        ; 3.608 ; 3.621 ; Rise       ; clk             ;
3018
;  cbus_addr_o[31] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
3019
; cbus_cmd0_o[*]   ; clk        ; 4.669 ; 4.778 ; Rise       ; clk             ;
3020
;  cbus_cmd0_o[0]  ; clk        ; 4.838 ; 4.778 ; Rise       ; clk             ;
3021
;  cbus_cmd0_o[1]  ; clk        ; 4.779 ; 4.946 ; Rise       ; clk             ;
3022
;  cbus_cmd0_o[2]  ; clk        ; 4.669 ; 4.820 ; Rise       ; clk             ;
3023
; cbus_cmd1_o[*]   ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
3024
;  cbus_cmd1_o[0]  ; clk        ; 5.035 ; 4.954 ; Rise       ; clk             ;
3025
;  cbus_cmd1_o[1]  ; clk        ; 4.821 ; 4.952 ; Rise       ; clk             ;
3026
;  cbus_cmd1_o[2]  ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
3027
; cbus_cmd2_o[*]   ; clk        ; 4.875 ; 4.830 ; Rise       ; clk             ;
3028
;  cbus_cmd2_o[0]  ; clk        ; 4.886 ; 4.830 ; Rise       ; clk             ;
3029
;  cbus_cmd2_o[1]  ; clk        ; 4.889 ; 5.045 ; Rise       ; clk             ;
3030
;  cbus_cmd2_o[2]  ; clk        ; 4.875 ; 4.927 ; Rise       ; clk             ;
3031
; cbus_cmd3_o[*]   ; clk        ; 4.709 ; 4.804 ; Rise       ; clk             ;
3032
;  cbus_cmd3_o[0]  ; clk        ; 4.899 ; 4.804 ; Rise       ; clk             ;
3033
;  cbus_cmd3_o[1]  ; clk        ; 4.709 ; 4.814 ; Rise       ; clk             ;
3034
;  cbus_cmd3_o[2]  ; clk        ; 4.727 ; 4.868 ; Rise       ; clk             ;
3035
; mbus_ack0_o      ; clk        ; 3.573 ; 3.568 ; Rise       ; clk             ;
3036
; mbus_ack1_o      ; clk        ; 3.579 ; 3.574 ; Rise       ; clk             ;
3037
; mbus_ack2_o      ; clk        ; 3.589 ; 3.602 ; Rise       ; clk             ;
3038
; mbus_ack3_o      ; clk        ; 3.597 ; 3.610 ; Rise       ; clk             ;
3039
+------------------+------------+-------+-------+------------+-----------------+
3040
 
3041
 
3042
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3043
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                      ;
3044
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3045
; Pin             ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
3046
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3047
; cbus_addr_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3048
; cbus_addr_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3049
; cbus_addr_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3050
; cbus_addr_o[3]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3051
; cbus_addr_o[4]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3052
; cbus_addr_o[5]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3053
; cbus_addr_o[6]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3054
; cbus_addr_o[7]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3055
; cbus_addr_o[8]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3056
; cbus_addr_o[9]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3057
; cbus_addr_o[10] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3058
; cbus_addr_o[11] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3059
; cbus_addr_o[12] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3060
; cbus_addr_o[13] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3061
; cbus_addr_o[14] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3062
; cbus_addr_o[15] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3063
; cbus_addr_o[16] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3064
; cbus_addr_o[17] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3065
; cbus_addr_o[18] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3066
; cbus_addr_o[19] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3067
; cbus_addr_o[20] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3068
; cbus_addr_o[21] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3069
; cbus_addr_o[22] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3070
; cbus_addr_o[23] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3071
; cbus_addr_o[24] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3072
; cbus_addr_o[25] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3073
; cbus_addr_o[26] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3074
; cbus_addr_o[27] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3075
; cbus_addr_o[28] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3076
; cbus_addr_o[29] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3077
; cbus_addr_o[30] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3078
; cbus_addr_o[31] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3079
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3080
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3081
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3082
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3083
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3084
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3085
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3086
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3087
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3088
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3089
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3090
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3091
; mbus_ack3_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3092
; mbus_ack2_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3093
; mbus_ack1_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3094
; mbus_ack0_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3095
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3096
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
3097
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
3098
 
3099
 
3100
+------------------------------------------------------------------------+
3101
; Input Transition Times                                                 ;
3102
+---------------------+--------------+-----------------+-----------------+
3103
; Pin                 ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
3104
+---------------------+--------------+-----------------+-----------------+
3105
; clk                 ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3106
; rst                 ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3107
; cbus_ack3_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3108
; cbus_ack2_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3109
; cbus_ack1_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3110
; cbus_ack0_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3111
; mbus_cmd3_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3112
; mbus_cmd3_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3113
; mbus_cmd3_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3114
; mbus_cmd2_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3115
; mbus_cmd2_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3116
; mbus_cmd2_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3117
; mbus_cmd1_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3118
; mbus_cmd1_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3119
; mbus_cmd1_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3120
; mbus_cmd0_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3121
; mbus_cmd0_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3122
; mbus_cmd0_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3123
; mbus_addr2_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3124
; mbus_addr3_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3125
; mbus_addr0_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3126
; mbus_addr1_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3127
; mbus_addr2_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3128
; mbus_addr3_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3129
; mbus_addr0_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3130
; mbus_addr1_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3131
; mbus_addr2_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3132
; mbus_addr3_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3133
; mbus_addr0_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3134
; mbus_addr1_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3135
; mbus_addr2_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3136
; mbus_addr3_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3137
; mbus_addr0_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3138
; mbus_addr1_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3139
; mbus_addr2_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3140
; mbus_addr3_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3141
; mbus_addr0_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3142
; mbus_addr1_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3143
; mbus_addr2_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3144
; mbus_addr3_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3145
; mbus_addr0_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3146
; mbus_addr1_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3147
; mbus_addr2_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3148
; mbus_addr3_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3149
; mbus_addr0_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3150
; mbus_addr1_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3151
; mbus_addr2_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3152
; mbus_addr3_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3153
; mbus_addr0_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3154
; mbus_addr1_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3155
; mbus_addr2_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3156
; mbus_addr3_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3157
; mbus_addr0_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3158
; mbus_addr1_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3159
; mbus_addr2_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3160
; mbus_addr3_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3161
; mbus_addr0_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3162
; mbus_addr1_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3163
; mbus_addr2_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3164
; mbus_addr3_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3165
; mbus_addr0_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3166
; mbus_addr1_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3167
; mbus_addr2_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3168
; mbus_addr3_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3169
; mbus_addr0_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3170
; mbus_addr1_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3171
; mbus_addr2_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3172
; mbus_addr3_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3173
; mbus_addr0_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3174
; mbus_addr1_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3175
; mbus_addr2_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3176
; mbus_addr3_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3177
; mbus_addr0_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3178
; mbus_addr1_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3179
; mbus_addr2_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3180
; mbus_addr3_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3181
; mbus_addr0_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3182
; mbus_addr1_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3183
; mbus_addr2_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3184
; mbus_addr3_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3185
; mbus_addr0_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3186
; mbus_addr1_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3187
; mbus_addr2_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3188
; mbus_addr3_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3189
; mbus_addr0_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3190
; mbus_addr1_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3191
; mbus_addr2_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3192
; mbus_addr3_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3193
; mbus_addr0_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3194
; mbus_addr1_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3195
; mbus_addr2_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3196
; mbus_addr3_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3197
; mbus_addr0_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3198
; mbus_addr1_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3199
; mbus_addr2_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3200
; mbus_addr3_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3201
; mbus_addr0_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3202
; mbus_addr1_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3203
; mbus_addr2_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3204
; mbus_addr3_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3205
; mbus_addr0_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3206
; mbus_addr1_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3207
; mbus_addr2_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3208
; mbus_addr3_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3209
; mbus_addr0_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3210
; mbus_addr1_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3211
; mbus_addr2_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3212
; mbus_addr3_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3213
; mbus_addr0_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3214
; mbus_addr1_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3215
; mbus_addr2_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3216
; mbus_addr3_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3217
; mbus_addr0_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3218
; mbus_addr1_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3219
; mbus_addr2_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3220
; mbus_addr3_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3221
; mbus_addr0_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3222
; mbus_addr1_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3223
; mbus_addr2_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3224
; mbus_addr3_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3225
; mbus_addr0_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3226
; mbus_addr1_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3227
; mbus_addr2_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3228
; mbus_addr3_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3229
; mbus_addr0_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3230
; mbus_addr1_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3231
; mbus_addr2_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3232
; mbus_addr3_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3233
; mbus_addr0_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3234
; mbus_addr1_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3235
; mbus_addr2_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3236
; mbus_addr3_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3237
; mbus_addr0_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3238
; mbus_addr1_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3239
; mbus_addr2_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3240
; mbus_addr3_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3241
; mbus_addr0_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3242
; mbus_addr1_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3243
; mbus_addr2_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3244
; mbus_addr3_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3245
; mbus_addr0_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3246
; mbus_addr1_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3247
; mbus_addr2_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3248
; mbus_addr3_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3249
; mbus_addr0_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3250
; mbus_addr1_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3251
; ~ALTERA_DATA0~      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3252
; ~ALTERA_ASDO_DATA1~ ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3253
; ~ALTERA_NCSO~       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
3254
+---------------------+--------------+-----------------+-----------------+
3255
 
3256
 
3257
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3258
; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ;
3259
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3260
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
3261
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3262
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3263
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3264
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3265
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3266
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3267
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3268
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3269
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3270
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3271
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3272
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3273
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3274
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3275
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3276
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3277
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3278
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3279
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3280
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3281
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3282
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3283
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3284
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3285
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3286
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3287
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
3288
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3289
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3290
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3291
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3292
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3293
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3294
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3295
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3296
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3297
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3298
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3299
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3300
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3301
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3302
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3303
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3304
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3305
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3306
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3307
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
3308
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3309
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
3310
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 3.99e-09 V                   ; 2.53 V              ; -0.0412 V           ; 0.279 V                              ; 0.088 V                              ; 1.14e-10 s                  ; 2.15e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 3.99e-09 V                  ; 2.53 V             ; -0.0412 V          ; 0.279 V                             ; 0.088 V                             ; 1.14e-10 s                 ; 2.15e-10 s                 ; No                        ; Yes                       ;
3311
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.21e-09 V                   ; 2.38 V              ; -0.0508 V           ; 0.161 V                              ; 0.093 V                              ; 2.91e-10 s                  ; 2.66e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 5.21e-09 V                  ; 2.38 V             ; -0.0508 V          ; 0.161 V                             ; 0.093 V                             ; 2.91e-10 s                 ; 2.66e-10 s                 ; Yes                       ; Yes                       ;
3312
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3313
 
3314
 
3315
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3316
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                             ;
3317
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3318
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
3319
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3320
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3321
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3322
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3323
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3324
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3325
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3326
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3327
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3328
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3329
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3330
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3331
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3332
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3333
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3334
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3335
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3336
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3337
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3338
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3339
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3340
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3341
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3342
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3343
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3344
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3345
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
3346
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3347
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3348
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3349
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3350
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3351
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3352
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3353
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3354
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3355
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3356
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3357
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3358
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3359
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3360
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3361
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3362
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3363
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3364
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3365
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
3366
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3367
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
3368
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.99e-07 V                   ; 2.39 V              ; -0.0291 V           ; 0.081 V                              ; 0.039 V                              ; 1.9e-10 s                   ; 2.97e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.99e-07 V                  ; 2.39 V             ; -0.0291 V          ; 0.081 V                             ; 0.039 V                             ; 1.9e-10 s                  ; 2.97e-10 s                 ; Yes                       ; Yes                       ;
3369
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.5e-07 V                    ; 2.35 V              ; -0.0159 V           ; 0.081 V                              ; 0.032 V                              ; 4.24e-10 s                  ; 3.5e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 8.5e-07 V                   ; 2.35 V             ; -0.0159 V          ; 0.081 V                             ; 0.032 V                             ; 4.24e-10 s                 ; 3.5e-10 s                  ; Yes                       ; Yes                       ;
3370
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3371
 
3372
 
3373
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
3374
; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ;
3375
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3376
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
3377
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3378
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3379
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3380
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3381
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3382
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3383
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3384
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3385
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3386
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3387
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3388
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3389
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3390
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3391
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3392
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3393
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3394
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3395
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3396
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3397
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3398
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3399
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3400
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3401
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3402
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3403
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
3404
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3405
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3406
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3407
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3408
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3409
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3410
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3411
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3412
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3413
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3414
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3415
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3416
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3417
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3418
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3419
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3420
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3421
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3422
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3423
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
3424
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3425
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
3426
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.65e-08 V                   ; 3.12 V              ; -0.147 V            ; 0.571 V                              ; 0.186 V                              ; 8.91e-11 s                  ; 1.76e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.65e-08 V                  ; 3.12 V             ; -0.147 V           ; 0.571 V                             ; 0.186 V                             ; 8.91e-11 s                 ; 1.76e-10 s                 ; No                        ; Yes                       ;
3427
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.26e-08 V                   ; 2.73 V              ; -0.0622 V           ; 0.148 V                              ; 0.088 V                              ; 2.68e-10 s                  ; 2.25e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 3.26e-08 V                  ; 2.73 V             ; -0.0622 V          ; 0.148 V                             ; 0.088 V                             ; 2.68e-10 s                 ; 2.25e-10 s                 ; Yes                       ; Yes                       ;
3428
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
3429
 
3430
 
3431
+-------------------------------------------------------------------+
3432
; Setup Transfers                                                   ;
3433
+------------+----------+----------+----------+----------+----------+
3434
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
3435
+------------+----------+----------+----------+----------+----------+
3436
; clk        ; clk      ; 126010   ; 0        ; 0        ; 0        ;
3437
+------------+----------+----------+----------+----------+----------+
3438
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
3439
 
3440
 
3441
+-------------------------------------------------------------------+
3442
; Hold Transfers                                                    ;
3443
+------------+----------+----------+----------+----------+----------+
3444
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
3445
+------------+----------+----------+----------+----------+----------+
3446
; clk        ; clk      ; 126010   ; 0        ; 0        ; 0        ;
3447
+------------+----------+----------+----------+----------+----------+
3448
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
3449
 
3450
 
3451
---------------
3452
; Report TCCS ;
3453
---------------
3454
No dedicated SERDES Transmitter circuitry present in device or used in design
3455
 
3456
 
3457
---------------
3458
; Report RSKM ;
3459
---------------
3460
No dedicated SERDES Receiver circuitry present in device or used in design
3461
 
3462
 
3463
+------------------------------------------------+
3464
; Unconstrained Paths                            ;
3465
+---------------------------------+-------+------+
3466
; Property                        ; Setup ; Hold ;
3467
+---------------------------------+-------+------+
3468
; Illegal Clocks                  ; 0     ; 0    ;
3469
; Unconstrained Clocks            ; 0     ; 0    ;
3470
; Unconstrained Input Ports       ; 1     ; 1    ;
3471
; Unconstrained Input Port Paths  ; 640   ; 640  ;
3472
; Unconstrained Output Ports      ; 0     ; 0    ;
3473
; Unconstrained Output Port Paths ; 0     ; 0    ;
3474
+---------------------------------+-------+------+
3475
 
3476
 
3477
+------------------------------------+
3478
; TimeQuest Timing Analyzer Messages ;
3479
+------------------------------------+
3480
Info: *******************************************************************
3481
Info: Running Quartus II 32-bit TimeQuest Timing Analyzer
3482
    Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
3483
    Info: Processing started: Tue Dec 25 14:04:04 2012
3484
Info: Command: quartus_sta mesi_isc -c mesi_isc
3485
Info: qsta_default_script.tcl version: #2
3486
Warning (20028): Parallel compilation is not licensed and has been disabled
3487
Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'.
3488
Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'.
3489
Info (332104): Reading SDC File: 'mesi_isc.sdc'
3490
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
3491
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
3492
Info: Analyzing Slow 1200mV 85C Model
3493
Critical Warning (332148): Timing requirements not met
3494
Info (332146): Worst-case setup slack is -8.340
3495
    Info (332119):     Slack End Point TNS Clock
3496
    Info (332119): ========= ============= =====================
3497
    Info (332119):    -8.340     -2724.862 clk
3498
Info (332146): Worst-case hold slack is -0.278
3499
    Info (332119):     Slack End Point TNS Clock
3500
    Info (332119): ========= ============= =====================
3501
    Info (332119):    -0.278        -0.443 clk
3502
Info (332140): No Recovery paths to report
3503
Info (332140): No Removal paths to report
3504
Info (332146): Worst-case minimum pulse width slack is -3.000
3505
    Info (332119):     Slack End Point TNS Clock
3506
    Info (332119): ========= ============= =====================
3507
    Info (332119):    -3.000      -643.000 clk
3508
Info: Analyzing Slow 1200mV 0C Model
3509
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
3510
Critical Warning (332148): Timing requirements not met
3511
Info (332146): Worst-case setup slack is -7.387
3512
    Info (332119):     Slack End Point TNS Clock
3513
    Info (332119): ========= ============= =====================
3514
    Info (332119):    -7.387     -2375.975 clk
3515
Info (332146): Worst-case hold slack is -0.237
3516
    Info (332119):     Slack End Point TNS Clock
3517
    Info (332119): ========= ============= =====================
3518
    Info (332119):    -0.237        -0.279 clk
3519
Info (332140): No Recovery paths to report
3520
Info (332140): No Removal paths to report
3521
Info (332146): Worst-case minimum pulse width slack is -3.000
3522
    Info (332119):     Slack End Point TNS Clock
3523
    Info (332119): ========= ============= =====================
3524
    Info (332119):    -3.000      -643.000 clk
3525
Info: Analyzing Fast 1200mV 0C Model
3526
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
3527
Critical Warning (332148): Timing requirements not met
3528
Info (332146): Worst-case setup slack is -4.682
3529
    Info (332119):     Slack End Point TNS Clock
3530
    Info (332119): ========= ============= =====================
3531
    Info (332119):    -4.682     -1313.549 clk
3532
Info (332146): Worst-case hold slack is -0.271
3533
    Info (332119):     Slack End Point TNS Clock
3534
    Info (332119): ========= ============= =====================
3535
    Info (332119):    -0.271        -3.470 clk
3536
Info (332140): No Recovery paths to report
3537
Info (332140): No Removal paths to report
3538
Info (332146): Worst-case minimum pulse width slack is -3.000
3539
    Info (332119):     Slack End Point TNS Clock
3540
    Info (332119): ========= ============= =====================
3541
    Info (332119):    -3.000      -651.247 clk
3542
Info (332102): Design is not fully constrained for setup requirements
3543
Info (332102): Design is not fully constrained for hold requirements
3544
Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
3545
    Info: Peak virtual memory: 371 megabytes
3546
    Info: Processing ended: Tue Dec 25 14:04:07 2012
3547
    Info: Elapsed time: 00:00:03
3548
    Info: Total CPU time (on all processors): 00:00:03
3549
 
3550
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.