OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [tags/] [release-0.9/] [backend/] [spartan3a_dsp_kit.ucf] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rfajardo
###########################
2
##
3
## Global signals
4
##
5
net "clk" loc = "f13";                                          #125MHz clock
6
net "reset" loc = "j17";                                        #SW5
7
###########################
8
 
9
###########################
10
##
11
## UART
12
##
13
net "uart_stx" loc = "p22";
14
net "uart_srx" loc = "n21";
15
###########################
16
 
17
###########################
18
##
19
## ETH
20
##
21
NET "eth_txd(3)" LOC = "b1";
22
NET "eth_txd(2)" LOC = "b2";
23
NET "eth_txd(1)" LOC = "j9";
24
NET "eth_txd(0)" LOC = "j8";
25
 
26
NET "eth_tx_en" LOC = "d3";
27
NET "eth_tx_clk" LOC = "p2";
28
NET "eth_tx_er" LOC = "e4";
29
 
30
NET "eth_rxd(3)" LOC = "d2";
31
NET "eth_rxd(2)" LOC = "g5";
32
NET "eth_rxd(1)" LOC = "g2";
33
NET "eth_rxd(0)" LOC = "c2";
34
 
35
NET "eth_rx_er" LOC = "j3";
36
NET "eth_rx_dv" LOC = "d1";
37
 
38
NET "eth_rx_clk" LOC = "p1";
39
 
40
NET "eth_mdio" LOC = "f5" | PULLUP;
41
NET "eth_crs" LOC = "g1";
42
NET "eth_col" LOC = "y3";
43
NET "eth_mdc" LOC = "f4";
44
 
45
NET "eth_trste" LOC = "g4";
46
 
47
NET "eth_fds_mdint" LOC = "j1";
48
###########################
49
 
50
###########################
51
##
52
## JTAG
53
##
54
#net "jtag_tms" loc = "aa23";                                   #SAM D0
55
#net "jtag_tdi" loc = "u20";                                    #SAM D2
56
#net "jtag_tdo" loc = "aa25";                                           #SAM D4
57
#net "jtag_tck" loc = "u18" | CLOCK_DEDICATED_ROUTE = FALSE;    #SAM D6
58
#net "jtag_gnd" loc = "y23";                                    #SAM D8
59
#net "jtag_vref" loc = "t20";                                   #SAM D10
60
###########################

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.