OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [d_flip_flop.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
------------------------------------------------------------------------------------ 
2
--                      
3
-- Geoffrey Ottoy - DraMCo research group
4
--
5
-- Module Name: d_flip_flop.vhd / entity d_flip_flop
6
-- 
7
-- Last Modified:       24/11/2011 
8
-- 
9
-- Description:         1 bit D flip-flop
10
--
11
--
12
-- Dependencies:        LDCE
13
--
14
-- Revision:
15
--      Revision 1.00 - Architecture
16
--      Revision 0.01 - File Created
17
--
18
--
19
------------------------------------------------------------------------------------
20
--
21
-- NOTICE:
22
--
23
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
24
-- by other third parties!
25
--
26
------------------------------------------------------------------------------------
27
library IEEE;
28
use IEEE.STD_LOGIC_1164.ALL;
29
use IEEE.STD_LOGIC_ARITH.ALL;
30
use IEEE.STD_LOGIC_UNSIGNED.ALL;
31
 
32
---- Uncomment the following library declaration if instantiating
33
---- any Xilinx primitives in this code.
34
library UNISIM;
35
use UNISIM.VComponents.all;
36
 
37
entity d_flip_flop is
38
   port(core_clk : in  STD_LOGIC;
39
                          reset : in  STD_LOGIC;
40
                            din : in  STD_LOGIC;
41
                      dout : out STD_LOGIC
42
        );
43
end d_flip_flop;
44
 
45
architecture Structural of d_flip_flop is
46
        signal dout_i : std_logic;
47
begin
48
 
49
        dout <= dout_i;
50
 
51
        FDCE_inst : FDCE
52
        generic map (
53
                INIT => '0')     -- Initial value of latch ('0' or '1')  
54
        port map (
55
                Q => dout_i,     -- Data output
56
                CLR => reset,    -- Asynchronous clear/reset input
57
                D => din,        -- Data input
58
                C => core_clk,   -- Gate input
59
                CE => '1'        -- Gate enable input
60
        );
61
 
62
end Structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.