OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [modulus_ram_asym.vhd] - Blame information for rev 94

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 JonasDC
----------------------------------------------------------------------  
2
----  modulus_ram_asym                                            ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    BRAM memory and logic to store the modulus, due to the    ----
10
----    achitecture, a minimum depth of 2 is needed for this      ----
11
----    module to be inferred into blockram, this version is      ----
12
----    slightly more performant than modulus_ram_gen and uses    ----
13
----    less resources. but does not work on every fpga, only     ----
14
----    the ones that support asymmetric rams.                    ----
15
----                                                              ---- 
16
----  Dependencies:                                               ----
17
----    - dpramblock_asym                                         ----
18
----                                                              ----
19
----  Authors:                                                    ----
20
----      - Geoffrey Ottoy, DraMCo research group                 ----
21
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
22
----                                                              ---- 
23
---------------------------------------------------------------------- 
24
----                                                              ---- 
25
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
26
----                                                              ---- 
27
---- This source file may be used and distributed without         ---- 
28
---- restriction provided that this copyright statement is not    ---- 
29
---- removed from the file and that any derivative work contains  ---- 
30
---- the original copyright notice and the associated disclaimer. ---- 
31
----                                                              ---- 
32
---- This source file is free software; you can redistribute it   ---- 
33
---- and/or modify it under the terms of the GNU Lesser General   ---- 
34
---- Public License as published by the Free Software Foundation; ---- 
35
---- either version 2.1 of the License, or (at your option) any   ---- 
36
---- later version.                                               ---- 
37
----                                                              ---- 
38
---- This source is distributed in the hope that it will be       ---- 
39
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
40
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
41
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
42
---- details.                                                     ---- 
43
----                                                              ---- 
44
---- You should have received a copy of the GNU Lesser General    ---- 
45
---- Public License along with this source; if not, download it   ---- 
46
---- from http://www.opencores.org/lgpl.shtml                     ---- 
47
----                                                              ---- 
48
----------------------------------------------------------------------
49
 
50
library ieee;
51
use ieee.std_logic_1164.all;
52
use ieee.std_logic_arith.all;
53
use ieee.std_logic_unsigned.all;
54
 
55
library mod_sim_exp;
56
use mod_sim_exp.std_functions.all;
57 81 JonasDC
use mod_sim_exp.mod_sim_exp_pkg.all;
58 67 JonasDC
 
59
-- structural description of a RAM to hold the modulus, with 
60
-- adjustable width (64, 128, 256, 512, 576, 640,..) and depth(nr of moduluses)
61
--    formula for available widths: (i*512+(0 or 64 or 128 or 256)) (i=integer number) 
62
--
63
entity modulus_ram_asym is
64
  generic(
65
    width : integer := 1536;  -- must be a multiple of 32
66
    depth : integer := 2;     -- nr of moduluses
67 94 JonasDC
    device : string := "altera"
68 67 JonasDC
  );
69
  port(
70
      -- bus side
71 94 JonasDC
    bus_clk        : in std_logic;
72 67 JonasDC
    write_modulus  : in std_logic; -- write enable
73
    modulus_in_sel : in std_logic_vector(log2(depth)-1 downto 0); -- modulus operand to write to
74
    modulus_addr   : in std_logic_vector(log2((width)/32)-1 downto 0); -- modulus word(32-bit) address
75
    modulus_in     : in std_logic_vector(31 downto 0); -- modulus word data in
76
    modulus_sel    : in std_logic_vector(log2(depth)-1 downto 0); -- selects the modulus to use for multiplications
77
      -- multiplier side
78 94 JonasDC
    core_clk       : in std_logic;
79 67 JonasDC
    modulus_out    : out std_logic_vector(width-1 downto 0)
80
  );
81
end modulus_ram_asym;
82
 
83
architecture structural of modulus_ram_asym is
84
  -- constants
85
  constant RAMblock_maxwidth   : integer := 512;
86
  constant nrRAMblocks_full    : integer := width/RAMblock_maxwidth;
87
  constant RAMblock_part       : integer := width rem RAMblock_maxwidth;
88
  constant RAMblock_part_width : integer := width-(nrRAMblocks_full*RAMblock_maxwidth);
89
  constant RAMselect_aw        : integer := log2(width/32)-log2(nrRAMblocks_full/32);
90
 
91
begin
92
 
93
  -- generate (width/512) ramblocks with a given depth
94
  -- these rams are tyed together to form the following structure
95
  --  dual port ram:
96
  --  - PORT A : 32-bit write
97
  --  - PORT B : (width)-bit read
98
  -- 
99
  single_block : if (width <= RAMblock_maxwidth) generate
100
    signal waddr : std_logic_vector(log2((width*depth)/32)-1 downto 0);
101
  begin
102
    waddr <= modulus_in_sel & modulus_addr;
103
 
104 83 JonasDC
    ramblock: dpramblock_asym
105 67 JonasDC
    generic map(
106
      width => width,
107
      depth => depth,
108
      device  => device
109
    )
110
    port map(
111
      -- write port
112 94 JonasDC
      clkA   => bus_clk,
113
      waddrA => waddr,
114
      weA    => write_modulus,
115
      dinA   => modulus_in,
116 67 JonasDC
      -- read port
117 94 JonasDC
      clkB   => core_clk,
118
      raddrB => modulus_sel,
119
      doutB  => modulus_out
120 67 JonasDC
    );
121
  end generate;
122
 
123
  multiple_full_blocks : if (width > RAMblock_maxwidth) generate
124
    -- signals for multiple blocks
125
    signal waddr  : std_logic_vector(log2(RAMblock_maxwidth*depth/32)-1 downto 0);
126
    signal we_RAM : std_logic_vector(nrRAMblocks_full-1 downto 0);
127
  begin
128
    ramblocks_full : for i in 0 to nrRAMblocks_full generate
129
      -- write port signal
130
      waddr <= modulus_in_sel & modulus_addr(log2(RAMblock_maxwidth/32)-1 downto 0);
131
 
132
      full_ones : if (i < nrRAMblocks_full) generate
133 81 JonasDC
        ramblock_full : dpramblock_asym
134 67 JonasDC
        generic map(
135
          width  => RAMblock_maxwidth,
136
          depth  => depth,
137
          device => device
138
        )
139
        port map(
140
          -- write port
141 94 JonasDC
          clkA   => bus_clk,
142
          waddrA => waddr,
143
          weA    => we_RAM(i),
144
          dinA   => modulus_in,
145 67 JonasDC
          -- read port
146 94 JonasDC
          clkB   => core_clk,
147
          raddrB => modulus_sel,
148
          doutB  => modulus_out((i+1)*RAMblock_maxwidth-1 downto i*RAMblock_maxwidth)
149 67 JonasDC
        );
150
        -- we
151
        process (write_modulus, modulus_addr)
152
        begin
153
          if modulus_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)) = conv_std_logic_vector(i,RAMselect_aw) then
154
            we_RAM(i) <= write_modulus;
155
          else
156
            we_RAM(i) <= '0';
157
          end if;
158
        end process;
159
      end generate; -- end of if generate for full blocks
160
 
161
      optional_part : if (i = nrRAMblocks_full) and (RAMblock_part /= 0) generate
162
        -- signals for optional part
163
        signal waddr_part : std_logic_vector(log2(RAMblock_part_width*depth/32)-1 downto 0);
164
        signal we_part    : std_logic;
165
      begin
166
        -- write port signal
167
        waddr_part <= modulus_in_sel & modulus_addr(log2(RAMblock_part_width/32)-1 downto 0);
168 83 JonasDC
        ramblock_part : dpramblock_asym
169 67 JonasDC
        generic map(
170
          width  => RAMblock_part_width,
171
          depth  => depth,
172
          device => device
173
        )
174
        port map(
175
          -- write port
176 94 JonasDC
          clkA   => bus_clk,
177
          waddrA => waddr_part,
178
          weA    => we_part,
179
          dinA   => modulus_in,
180 67 JonasDC
          -- read port
181 94 JonasDC
          clkB   => core_clk,
182
          raddrB => modulus_sel,
183
          doutB  => modulus_out(width-1 downto i*RAMblock_maxwidth)
184 67 JonasDC
        );
185
 
186
        -- we_part
187
        process (write_modulus, modulus_addr)
188
        begin
189
          if modulus_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)) = conv_std_logic_vector(i,RAMselect_aw) then
190
            we_part <= write_modulus;
191
          else
192
            we_part <= '0';
193
          end if;
194
        end process;
195
      end generate;-- end of if generate for part block
196
    end generate;-- end of for generate
197
  end generate;-- end of if generate for multiple blocks
198
 
199
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.