OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [operand_dp.vhd] - Blame information for rev 89

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 89 JonasDC
--------------------------------------------------------------------------------
2
--     (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved.            --
3
--                                                                            --
4
--     This file contains confidential and proprietary information            --
5
--     of Xilinx, Inc. and is protected under U.S. and                        --
6
--     international copyright and other intellectual property                --
7
--     laws.                                                                  --
8
--                                                                            --
9
--     DISCLAIMER                                                             --
10
--     This disclaimer is not a license and does not grant any                --
11
--     rights to the materials distributed herewith. Except as                --
12
--     otherwise provided in a valid license issued to you by                 --
13
--     Xilinx, and to the maximum extent permitted by applicable              --
14
--     law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND                --
15
--     WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES            --
16
--     AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING              --
17
--     BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-                 --
18
--     INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and               --
19
--     (2) Xilinx shall not be liable (whether in contract or tort,           --
20
--     including negligence, or under any other theory of                     --
21
--     liability) for any loss or damage of any kind or nature                --
22
--     related to, arising under or in connection with these                  --
23
--     materials, including for any direct, or any indirect,                  --
24
--     special, incidental, or consequential loss or damage                   --
25
--     (including loss of data, profits, goodwill, or any type of             --
26
--     loss or damage suffered as a result of any action brought              --
27
--     by a third party) even if such damage or loss was                      --
28
--     reasonably foreseeable or Xilinx had been advised of the               --
29
--     possibility of the same.                                               --
30
--                                                                            --
31
--     CRITICAL APPLICATIONS                                                  --
32
--     Xilinx products are not designed or intended to be fail-               --
33
--     safe, or for use in any application requiring fail-safe                --
34
--     performance, such as life-support or safety devices or                 --
35
--     systems, Class III medical devices, nuclear facilities,                --
36
--     applications related to the deployment of airbags, or any              --
37
--     other applications that could lead to death, personal                  --
38
--     injury, or severe property or environmental damage                     --
39
--     (individually and collectively, "Critical                              --
40
--     Applications"). Customer assumes the sole risk and                     --
41
--     liability of any use of Xilinx products in Critical                    --
42
--     Applications, subject only to applicable laws and                      --
43
--     regulations governing limitations on product liability.                --
44
--                                                                            --
45
--     THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS               --
46
--     PART OF THIS FILE AT ALL TIMES.                                        --
47
--------------------------------------------------------------------------------
48 2 JonasDC
-- You must compile the wrapper file operand_dp.vhd when simulating
49
-- the core, operand_dp. When compiling the wrapper file, be sure to
50
-- reference the XilinxCoreLib VHDL simulation library. For detailed
51
-- instructions, please refer to the "CORE Generator Help".
52
 
53
-- The synthesis directives "translate_off/translate_on" specified
54
-- below are supported by Xilinx, Mentor Graphics and Synplicity
55
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
56
 
57 89 JonasDC
LIBRARY ieee;
58
USE ieee.std_logic_1164.ALL;
59 2 JonasDC
-- synthesis translate_off
60 89 JonasDC
Library XilinxCoreLib;
61 2 JonasDC
-- synthesis translate_on
62 89 JonasDC
ENTITY operand_dp IS
63
        port (
64
        clka: in std_logic;
65
        wea: in std_logic_vector(0 downto 0);
66
        addra: in std_logic_vector(5 downto 0);
67
        dina: in std_logic_vector(31 downto 0);
68
        douta: out std_logic_vector(31 downto 0);
69
        clkb: in std_logic;
70
        web: in std_logic_vector(0 downto 0);
71
        addrb: in std_logic_vector(1 downto 0);
72
        dinb: in std_logic_vector(511 downto 0);
73
        doutb: out std_logic_vector(511 downto 0));
74
END operand_dp;
75 2 JonasDC
 
76 89 JonasDC
ARCHITECTURE operand_dp_a OF operand_dp IS
77 2 JonasDC
-- synthesis translate_off
78 89 JonasDC
component wrapped_operand_dp
79
        port (
80
        clka: in std_logic;
81
        wea: in std_logic_vector(0 downto 0);
82
        addra: in std_logic_vector(5 downto 0);
83
        dina: in std_logic_vector(31 downto 0);
84
        douta: out std_logic_vector(31 downto 0);
85
        clkb: in std_logic;
86
        web: in std_logic_vector(0 downto 0);
87
        addrb: in std_logic_vector(1 downto 0);
88
        dinb: in std_logic_vector(511 downto 0);
89
        doutb: out std_logic_vector(511 downto 0));
90
end component;
91 2 JonasDC
 
92
-- Configuration specification 
93
        for all : wrapped_operand_dp use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
94
                generic map(
95
                        c_has_regceb => 0,
96
                        c_has_regcea => 0,
97
                        c_mem_type => 2,
98
                        c_rstram_b => 0,
99
                        c_rstram_a => 0,
100
                        c_has_injecterr => 0,
101
                        c_rst_type => "SYNC",
102
                        c_prim_type => 1,
103 89 JonasDC
                        c_read_width_b => 512,
104 2 JonasDC
                        c_initb_val => "0",
105
                        c_family => "virtex6",
106 89 JonasDC
                        c_read_width_a => 32,
107 2 JonasDC
                        c_disable_warn_bhv_coll => 0,
108
                        c_write_mode_b => "WRITE_FIRST",
109
                        c_init_file_name => "no_coe_file_loaded",
110
                        c_write_mode_a => "WRITE_FIRST",
111
                        c_mux_pipeline_stages => 0,
112
                        c_has_mem_output_regs_b => 0,
113
                        c_has_mem_output_regs_a => 0,
114
                        c_load_init_file => 0,
115
                        c_xdevicefamily => "virtex6",
116
                        c_write_depth_b => 4,
117
                        c_write_depth_a => 64,
118
                        c_has_rstb => 0,
119
                        c_has_rsta => 0,
120
                        c_has_mux_output_regs_b => 0,
121
                        c_inita_val => "0",
122
                        c_has_mux_output_regs_a => 0,
123
                        c_addra_width => 6,
124 89 JonasDC
                        c_addrb_width => 2,
125 2 JonasDC
                        c_default_data => "0",
126
                        c_use_ecc => 0,
127
                        c_algorithm => 1,
128
                        c_disable_warn_bhv_range => 0,
129
                        c_write_width_b => 512,
130
                        c_write_width_a => 32,
131 89 JonasDC
                        c_read_depth_b => 4,
132
                        c_read_depth_a => 64,
133 2 JonasDC
                        c_byte_size => 9,
134
                        c_sim_collision_check => "ALL",
135
                        c_common_clk => 0,
136
                        c_wea_width => 1,
137
                        c_has_enb => 0,
138
                        c_web_width => 1,
139
                        c_has_ena => 0,
140
                        c_use_byte_web => 0,
141
                        c_use_byte_wea => 0,
142
                        c_rst_priority_b => "CE",
143
                        c_rst_priority_a => "CE",
144 89 JonasDC
                        c_use_default_data => 0);
145 2 JonasDC
-- synthesis translate_on
146 89 JonasDC
BEGIN
147 2 JonasDC
-- synthesis translate_off
148 89 JonasDC
U0 : wrapped_operand_dp
149
                port map (
150
                        clka => clka,
151
                        wea => wea,
152
                        addra => addra,
153
                        dina => dina,
154
                        douta => douta,
155
                        clkb => clkb,
156
                        web => web,
157
                        addrb => addrb,
158
                        dinb => dinb,
159
                        doutb => doutb);
160 2 JonasDC
-- synthesis translate_on
161
 
162 89 JonasDC
END operand_dp_a;
163
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.