OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [operand_ram_gen.vhd] - Blame information for rev 94

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 JonasDC
----------------------------------------------------------------------  
2
----  operand_ram_gen                                             ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    BRAM memory and logic to the store the operands           ----
10
----    for the montgomery multiplier                             ----            
11
----                                                              ---- 
12
----  Dependencies:                                               ----
13
----    - tdpram_generic                                          ----
14
----                                                              ----
15
----  Authors:                                                    ----
16
----      - Geoffrey Ottoy, DraMCo research group                 ----
17
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
18
----                                                              ---- 
19
---------------------------------------------------------------------- 
20
----                                                              ---- 
21
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
22
----                                                              ---- 
23
---- This source file may be used and distributed without         ---- 
24
---- restriction provided that this copyright statement is not    ---- 
25
---- removed from the file and that any derivative work contains  ---- 
26
---- the original copyright notice and the associated disclaimer. ---- 
27
----                                                              ---- 
28
---- This source file is free software; you can redistribute it   ---- 
29
---- and/or modify it under the terms of the GNU Lesser General   ---- 
30
---- Public License as published by the Free Software Foundation; ---- 
31
---- either version 2.1 of the License, or (at your option) any   ---- 
32
---- later version.                                               ---- 
33
----                                                              ---- 
34
---- This source is distributed in the hope that it will be       ---- 
35
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
36
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
37
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
38
---- details.                                                     ---- 
39
----                                                              ---- 
40
---- You should have received a copy of the GNU Lesser General    ---- 
41
---- Public License along with this source; if not, download it   ---- 
42
---- from http://www.opencores.org/lgpl.shtml                     ---- 
43
----                                                              ---- 
44
----------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_arith.all;
49
use ieee.std_logic_unsigned.all;
50
 
51
library mod_sim_exp;
52
use mod_sim_exp.mod_sim_exp_pkg.all;
53
use mod_sim_exp.std_functions.all;
54
 
55
-- behavorial description of a RAM to hold the operands, with 
56
-- adjustable width and depth(nr of operands)
57
entity operand_ram_gen is
58
  generic(
59
    width : integer := 1536; -- width of the operands
60
    depth : integer := 4     -- nr of operands
61
  );
62
  port(
63
      -- global ports
64
    collision : out std_logic; -- 1 if simultaneous write on RAM
65
      -- bus side connections (32-bit serial)
66 94 JonasDC
    bus_clk        : in std_logic;
67 63 JonasDC
    write_operand  : in std_logic; -- write_enable
68
    operand_in_sel : in std_logic_vector(log2(depth)-1 downto 0); -- operand to write to
69
    operand_addr   : in std_logic_vector(log2(width/32)-1 downto 0); -- address of operand word to write
70
    operand_in     : in std_logic_vector(31 downto 0);  -- operand word(32-bit) to write
71
    result_out     : out std_logic_vector(31 downto 0); -- operand out, reading is always result operand
72
    operand_out_sel : in std_logic_vector(log2(depth)-1 downto 0); -- operand to give to multiplier
73
      -- multiplier side connections (width-bit parallel)
74 94 JonasDC
    core_clk        : in std_logic;
75 63 JonasDC
    result_dest_op  : in std_logic_vector(log2(depth)-1 downto 0); -- operand select for result
76
    operand_out     : out std_logic_vector(width-1 downto 0); -- operand out to multiplier
77
    write_result    : in std_logic; -- write enable for multiplier side
78
    result_in       : in std_logic_vector(width-1 downto 0) -- result to write from multiplier
79
  );
80
end operand_ram_gen;
81
 
82
 
83
architecture Behavioral of operand_ram_gen is
84
  constant nrRAMs : integer := width/32;
85
  constant RAMselect_aw : integer := log2(nrRAMs);
86
  constant RAMdepth_aw : integer := log2(depth);
87
  constant total_aw : integer := RAMdepth_aw+RAMselect_aw;
88
 
89
  -- total RAM structure signals
90
  signal weA_RAM : std_logic_vector(nrRAMs-1 downto 0);
91
  type wordsplit is array (nrRAMs-1 downto 0) of std_logic_vector(31 downto 0);
92 94 JonasDC
  signal doutA_RAM : wordsplit;
93 63 JonasDC
  --- PORT A : 32-bit write | (width)-bit read
94
  signal dinA    : std_logic_vector(31 downto 0);
95 94 JonasDC
  signal doutA   : std_logic_vector(31 downto 0);
96 63 JonasDC
  signal weA     : std_logic;
97
  signal addrA   : std_logic_vector(RAMselect_aw-1 downto 0);
98
  signal op_selA : std_logic_vector(RAMdepth_aw-1 downto 0);
99
  --- PORT B : 32-bit read  | (width)-bit write
100
  signal dinB    : std_logic_vector(width-1 downto 0);
101 94 JonasDC
  signal doutB   : std_logic_vector(width-1 downto 0);
102 63 JonasDC
  signal weB     : std_logic;
103
  signal addrB   : std_logic_vector(RAMselect_aw-1 downto 0);
104
  signal op_selB : std_logic_vector(RAMdepth_aw-1 downto 0);
105
 
106
  signal write_operand_i : std_logic;
107 94 JonasDC
  signal op_selB_i : std_logic_vector(RAMdepth_aw-1 downto 0);
108 63 JonasDC
begin
109
 
110
        -- WARNING: Very Important!
111
  -- wea & web signals must never be high at the same time !!
112
  -- web has priority 
113
  write_operand_i <= write_operand and not write_result; -- portB has write priority
114
  collision <= write_operand and write_result;
115
 
116
  -- the dual port ram has a depth of 4 (each layer contains an operand)
117
  -- result is always stored in position 3
118
  -- doutb is always result
119 94 JonasDC
  with write_result select
120
    op_selB_i <= result_dest_op when '1',
121 63 JonasDC
                 operand_out_sel when others;
122
 
123
  -- map signals to RAM
124
  -- PORTA
125
  weA <= write_operand_i;
126 94 JonasDC
  op_selA <= operand_in_sel;
127 63 JonasDC
  addrA <= operand_addr;
128
  dinA <= operand_in;
129 94 JonasDC
  result_out <= doutA;
130 63 JonasDC
  -- PORT B
131
  weB <= write_result;
132 94 JonasDC
  op_selB <= op_selB_i; -- portB locked to result operand
133 63 JonasDC
  addrB <= operand_addr;
134
  dinB <= result_in;
135 94 JonasDC
  operand_out <= doutB;
136 63 JonasDC
 
137
        -- generate (width/32) blocks of 32-bit ram with a given depth
138
  -- these rams are tyed together to form the following structure
139
  --  True dual port ram:
140 94 JonasDC
  --  - PORT A : 32-bit write | 32-bit read
141
  --  - PORT B : (width)-bit read  | (width)-bit write
142 63 JonasDC
  --                ^             ^
143
  -- addres       addr          op_sel
144
  -- 
145
  ramblocks : for i in 0 to nrRAMs-1 generate
146
    ramblock: tdpram_generic
147
    generic map(
148
      depth => depth
149
    )
150
    port map(
151
      -- port A : 32-bit
152 94 JonasDC
      clkA  => bus_clk,
153 63 JonasDC
      addrA => op_selA,
154
      weA   => weA_RAM(i),
155
      dinA  => dinA,
156 94 JonasDC
      doutA => doutA_RAM(i),
157 63 JonasDC
      -- port B : 32-bit
158 94 JonasDC
      clkB  => core_clk,
159 63 JonasDC
      addrB => op_selB,
160
      weB   => weB,
161
      dinB  => dinB(((i+1)*32)-1 downto i*32),
162 94 JonasDC
      doutB => doutB(((i+1)*32)-1 downto i*32)
163 63 JonasDC
    );
164
    --    demultiplexer for write enable A signal
165
    process (addrA, weA)
166
    begin
167
      if addrA(RAMselect_aw-1 downto 0) = conv_std_logic_vector(i,RAMselect_aw) then
168
        weA_RAM(i) <= weA;
169
      else
170
        weA_RAM(i) <= '0';
171
      end if;
172
    end process;
173
  end generate;
174
  -- PORTB 32-bit read
175 94 JonasDC
  doutA <= doutA_RAM(conv_integer(addrA)) when (conv_integer(addrA)<nrRAMs)
176 63 JonasDC
          else (others=>'0');
177
 
178
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.