OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [operands_sp.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file operands_sp.vhd when simulating
30
-- the core, operands_sp. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY operands_sp IS
44
        port (
45
        clka: IN std_logic;
46
        wea: IN std_logic_VECTOR(0 downto 0);
47
        addra: IN std_logic_VECTOR(4 downto 0);
48
        dina: IN std_logic_VECTOR(31 downto 0);
49
        douta: OUT std_logic_VECTOR(511 downto 0));
50
END operands_sp;
51
 
52
ARCHITECTURE operands_sp_a OF operands_sp IS
53
-- synthesis translate_off
54
component wrapped_operands_sp
55
        port (
56
        clka: IN std_logic;
57
        wea: IN std_logic_VECTOR(0 downto 0);
58
        addra: IN std_logic_VECTOR(4 downto 0);
59
        dina: IN std_logic_VECTOR(31 downto 0);
60
        douta: OUT std_logic_VECTOR(511 downto 0));
61
end component;
62
 
63
-- Configuration specification 
64
        for all : wrapped_operands_sp use entity XilinxCoreLib.blk_mem_gen_v3_3(behavioral)
65
                generic map(
66
                        c_has_regceb => 0,
67
                        c_has_regcea => 0,
68
                        c_mem_type => 0,
69
                        c_rstram_b => 0,
70
                        c_rstram_a => 0,
71
                        c_has_injecterr => 0,
72
                        c_rst_type => "SYNC",
73
                        c_prim_type => 1,
74
                        c_read_width_b => 32,
75
                        c_initb_val => "0",
76
                        c_family => "virtex6",
77
                        c_read_width_a => 512,
78
                        c_disable_warn_bhv_coll => 0,
79
                        c_write_mode_b => "WRITE_FIRST",
80
                        c_init_file_name => "no_coe_file_loaded",
81
                        c_write_mode_a => "WRITE_FIRST",
82
                        c_mux_pipeline_stages => 0,
83
                        c_has_mem_output_regs_b => 0,
84
                        c_has_mem_output_regs_a => 0,
85
                        c_load_init_file => 0,
86
                        c_xdevicefamily => "virtex6",
87
                        c_write_depth_b => 32,
88
                        c_write_depth_a => 32,
89
                        c_has_rstb => 0,
90
                        c_has_rsta => 0,
91
                        c_has_mux_output_regs_b => 0,
92
                        c_inita_val => "0",
93
                        c_has_mux_output_regs_a => 0,
94
                        c_addra_width => 5,
95
                        c_addrb_width => 5,
96
                        c_default_data => "0",
97
                        c_use_ecc => 0,
98
                        c_algorithm => 1,
99
                        c_disable_warn_bhv_range => 0,
100
                        c_write_width_b => 32,
101
                        c_write_width_a => 32,
102
                        c_read_depth_b => 32,
103
                        c_read_depth_a => 2,
104
                        c_byte_size => 9,
105
                        c_sim_collision_check => "ALL",
106
                        c_common_clk => 0,
107
                        c_wea_width => 1,
108
                        c_has_enb => 0,
109
                        c_web_width => 1,
110
                        c_has_ena => 0,
111
                        c_use_byte_web => 0,
112
                        c_use_byte_wea => 0,
113
                        c_rst_priority_b => "CE",
114
                        c_rst_priority_a => "CE",
115
                        c_use_default_data => 0);
116
-- synthesis translate_on
117
BEGIN
118
-- synthesis translate_off
119
U0 : wrapped_operands_sp
120
                port map (
121
                        clka => clka,
122
                        wea => wea,
123
                        addra => addra,
124
                        dina => dina,
125
                        douta => douta);
126
-- synthesis translate_on
127
 
128
END operands_sp_a;
129
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.