OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [interface/] [axi/] [msec_ipcore_axilite.vhd] - Blame information for rev 89

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 84 JonasDC
----------------------------------------------------------------------  
2
----  msec_ipcore_axilite                                         ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    AXI-Lite bus interface for the mod_sim_exp_core. Has a    ----
10
----    fixed address decoder, address offsets are:               ----
11
----                                                              ----
12
----      M       : 0xXXXX0000                                    ----
13
----      OP0     : 0xXXXX1000                                    ----
14
----      OP1     : 0xXXXX2000                                    ----
15
----      OP2     : 0xXXXX3000                                    ----
16
----      OP3     : 0xXXXX4000                                    ----
17
----      FIFO    : 0xXXXX5000                                    ----
18
----      Control : 0xXXXX6000                                    ----
19
----                                                              ----
20
----    only the XXXX part of the address can be chosen freely    ----
21
----                                                              ----
22
----  Dependencies:                                               ----
23
----    - mod_sim_exp_core                                        ----
24
----                                                              ----
25
----  Authors:                                                    ----
26
----      - Geoffrey Ottoy, DraMCo research group                 ----
27
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
28
----                                                              ---- 
29
---------------------------------------------------------------------- 
30
----                                                              ---- 
31
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
32
----                                                              ---- 
33
---- This source file may be used and distributed without         ---- 
34
---- restriction provided that this copyright statement is not    ---- 
35
---- removed from the file and that any derivative work contains  ---- 
36
---- the original copyright notice and the associated disclaimer. ---- 
37
----                                                              ---- 
38
---- This source file is free software; you can redistribute it   ---- 
39
---- and/or modify it under the terms of the GNU Lesser General   ---- 
40
---- Public License as published by the Free Software Foundation; ---- 
41
---- either version 2.1 of the License, or (at your option) any   ---- 
42
---- later version.                                               ---- 
43
----                                                              ---- 
44
---- This source is distributed in the hope that it will be       ---- 
45
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
46
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
47
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
48
---- details.                                                     ---- 
49
----                                                              ---- 
50
---- You should have received a copy of the GNU Lesser General    ---- 
51
---- Public License along with this source; if not, download it   ---- 
52
---- from http://www.opencores.org/lgpl.shtml                     ---- 
53
----                                                              ---- 
54
----------------------------------------------------------------------
55 82 JonasDC
 
56
library ieee;
57
use ieee.std_logic_1164.all;
58
use ieee.std_logic_arith.all;
59
use ieee.std_logic_unsigned.all;
60
 
61
library mod_sim_exp;
62
use mod_sim_exp.mod_sim_exp_pkg;
63
 
64
------------------------------------------------------------------------------
65
-- Entity section
66
------------------------------------------------------------------------------
67
-- Definition of Generics:
68
--   C_S_AXI_DATA_WIDTH           -- AXI4LITE slave: Data width
69
--   C_S_AXI_ADDR_WIDTH           -- AXI4LITE slave: Address Width
70
--   C_BASEADDR                   -- AXI4LITE slave: base address
71
--   C_HIGHADDR                   -- AXI4LITE slave: high address
72
--
73
-- Definition of Ports:
74
--   S_AXI_ACLK                   -- AXI4LITE slave: Clock 
75
--   S_AXI_ARESETN                -- AXI4LITE slave: Reset
76
--   S_AXI_AWADDR                 -- AXI4LITE slave: Write address
77
--   S_AXI_AWVALID                -- AXI4LITE slave: Write address valid
78
--   S_AXI_WDATA                  -- AXI4LITE slave: Write data
79
--   S_AXI_WSTRB                  -- AXI4LITE slave: Write strobe
80
--   S_AXI_WVALID                 -- AXI4LITE slave: Write data valid
81
--   S_AXI_BREADY                 -- AXI4LITE slave: Response ready
82
--   S_AXI_ARADDR                 -- AXI4LITE slave: Read address
83
--   S_AXI_ARVALID                -- AXI4LITE slave: Read address valid
84
--   S_AXI_RREADY                 -- AXI4LITE slave: Read data ready
85
--   S_AXI_ARREADY                -- AXI4LITE slave: read addres ready
86
--   S_AXI_RDATA                  -- AXI4LITE slave: Read data
87
--   S_AXI_RRESP                  -- AXI4LITE slave: Read data response
88
--   S_AXI_RVALID                 -- AXI4LITE slave: Read data valid
89
--   S_AXI_WREADY                 -- AXI4LITE slave: Write data ready
90
--   S_AXI_BRESP                  -- AXI4LITE slave: Response
91
--   S_AXI_BVALID                 -- AXI4LITE slave: Resonse valid
92
--   S_AXI_AWREADY                -- AXI4LITE slave: Wrte address ready
93
------------------------------------------------------------------------------
94
 
95 84 JonasDC
entity msec_ipcore_axilite is
96
  generic(
97
    -- Multiplier parameters
98 82 JonasDC
    C_NR_BITS_TOTAL   : integer := 1536;
99
    C_NR_STAGES_TOTAL : integer := 96;
100
    C_NR_STAGES_LOW   : integer := 32;
101
    C_SPLIT_PIPELINE  : boolean := true;
102
    C_FIFO_DEPTH      : integer := 32;
103 85 JonasDC
    C_MEM_STYLE       : string  := "asym"; -- xil_prim, generic, asym are valid options
104 84 JonasDC
    C_FPGA_MAN        : string  := "xilinx";    -- xilinx, altera are valid options
105
    -- Bus protocol parameters
106 82 JonasDC
    C_S_AXI_DATA_WIDTH             : integer              := 32;
107
    C_S_AXI_ADDR_WIDTH             : integer              := 32;
108
    C_BASEADDR                     : std_logic_vector     := X"FFFFFFFF";
109
    C_HIGHADDR                     : std_logic_vector     := X"00000000"
110
  );
111 84 JonasDC
  port(
112 82 JonasDC
    --USER ports
113
    calc_time                     : out std_logic;
114
    IntrEvent                     : out std_logic;
115 89 JonasDC
    core_clk                      : in std_logic;
116 82 JonasDC
    -------------------------
117
    -- AXI4lite interface
118
    -------------------------
119
    --- Global signals
120
    S_AXI_ACLK                     : in  std_logic;
121
    S_AXI_ARESETN                  : in  std_logic;
122
    --- Write address channel
123
    S_AXI_AWADDR                   : in  std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
124
    S_AXI_AWVALID                  : in  std_logic;
125
    S_AXI_AWREADY                  : out std_logic;
126
    --- Write data channel
127
    S_AXI_WDATA                    : in  std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
128
    S_AXI_WVALID                   : in  std_logic;
129
    S_AXI_WREADY                   : out std_logic;
130
    S_AXI_WSTRB                    : in  std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
131
    --- Write response channel
132
    S_AXI_BVALID                   : out std_logic;
133
    S_AXI_BREADY                   : in  std_logic;
134
    S_AXI_BRESP                    : out std_logic_vector(1 downto 0);
135
    --- Read address channel
136
    S_AXI_ARADDR                   : in  std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
137
    S_AXI_ARVALID                  : in  std_logic;
138
    S_AXI_ARREADY                  : out std_logic;
139
    --- Read data channel
140
    S_AXI_RDATA                    : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
141
    S_AXI_RVALID                   : out std_logic;
142
    S_AXI_RREADY                   : in  std_logic;
143
    S_AXI_RRESP                    : out std_logic_vector(1 downto 0)
144
  );
145
 
146
  attribute MAX_FANOUT : string;
147
  attribute SIGIS      : string;
148
  attribute MAX_FANOUT of S_AXI_ACLK    : signal is "10000";
149
  attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
150
  attribute SIGIS of S_AXI_ACLK         : signal is "Clk";
151
  attribute SIGIS of S_AXI_ARESETN      : signal is "Rst";
152 84 JonasDC
end entity msec_ipcore_axilite;
153 82 JonasDC
 
154
------------------------------------------------------------------------------
155
-- Architecture section
156
------------------------------------------------------------------------------
157
 
158 84 JonasDC
architecture IMP of msec_ipcore_axilite is
159 82 JonasDC
  type axi_states is (addr_wait, read_state, write_state, response_state);
160
  signal state : axi_states;
161
 
162
  signal address : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
163
  signal reset : std_logic;
164
 
165 84 JonasDC
  signal S_AXI_BVALID_i : std_logic;
166 82 JonasDC
 
167
  -- selection signals
168
  signal cs_array           : std_logic_vector(6 downto 0);
169
  signal slv_reg_selected : std_logic;
170
  signal op_mem_selected    : std_logic;
171
  signal op_sel             : std_logic_vector(1 downto 0);
172
  signal MNO_sel            : std_logic;
173
 
174
  -- slave register signals
175
  signal slv_reg : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
176
  signal slv_reg_write_enable : std_logic;
177
  signal load_flags : std_logic;
178
 
179
  -- core interface signeals
180
  signal write_enable : std_logic;
181
  signal core_write_enable : std_logic;
182
  signal core_fifo_push : std_logic;
183
  signal core_data_out : std_logic_vector(31 downto 0);
184
  signal core_rw_address : std_logic_vector(8 downto 0);
185
 
186
  ------------------------------------------------------------------
187
  -- Signals for multiplier core interrupt
188
  ------------------------------------------------------------------
189
  signal core_interrupt                 : std_logic;
190
  signal core_fifo_full                 : std_logic;
191
  signal core_fifo_nopush               : std_logic;
192
  signal core_ready                     : std_logic;
193
  signal core_mem_collision             : std_logic;
194
 
195
  ------------------------------------------------------------------
196
  -- Signals for multiplier core control
197
  ------------------------------------------------------------------
198
  signal core_start                     : std_logic;
199
  signal core_exp_m                     : std_logic;
200
  signal core_p_sel                     : std_logic_vector(1 downto 0);
201
  signal core_dest_op_single            : std_logic_vector(1 downto 0);
202
  signal core_x_sel_single              : std_logic_vector(1 downto 0);
203
  signal core_y_sel_single              : std_logic_vector(1 downto 0);
204
  signal core_flags                     : std_logic_vector(15 downto 0);
205
  signal core_modulus_sel               : std_logic;
206
 
207
begin
208
  -- unused signals
209
  S_AXI_BRESP <= "00";
210
  S_AXI_RRESP <= "00";
211
 
212
  -- axi-lite slave state machine
213
  axi_slave_states : process (S_AXI_ACLK)
214
  begin
215
    if rising_edge(S_AXI_ACLK) then
216
      if S_AXI_ARESETN='0' then -- slave reset state
217
        S_AXI_RVALID <= '0';
218 84 JonasDC
        S_AXI_BVALID_i <= '0';
219 82 JonasDC
        S_AXI_ARREADY <= '0';
220
        S_AXI_WREADY <= '0';
221
        S_AXI_AWREADY <= '0';
222
        state <= addr_wait;
223
        address <= (others=>'0');
224
        write_enable <= '0';
225
      else
226
        case state is
227
          when addr_wait =>
228
          -- wait for a read or write address and latch it in
229
            if S_AXI_ARVALID = '1' then -- read
230
              state <= read_state;
231
              address <= S_AXI_ARADDR;
232
              S_AXI_ARREADY <= '1';
233 84 JonasDC
            elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- write
234 82 JonasDC
              state <= write_state;
235
              address <= S_AXI_AWADDR;
236
            else
237
              state <= addr_wait;
238
            end if;
239
 
240
          when read_state =>
241
          -- place correct data on bus and generate valid pulse
242
            S_AXI_ARREADY <= '0';
243
            S_AXI_RVALID <= '1';
244
            state <= response_state;
245
 
246
          when write_state =>
247
          -- generate a write pulse
248 84 JonasDC
            S_AXI_AWREADY <= '1';
249
            write_enable <= '1';
250
            S_AXI_WREADY <= '1';
251
            state <= response_state;
252 82 JonasDC
 
253
          when response_state =>
254
            write_enable <= '0';
255 84 JonasDC
            S_AXI_AWREADY <= '0';
256 82 JonasDC
            S_AXI_WREADY <= '0';
257 84 JonasDC
            S_AXI_BVALID_i <= '1';
258 82 JonasDC
          -- wait for response from master
259 84 JonasDC
            if (S_AXI_RREADY = '1') or (S_AXI_BVALID_i = '1' and S_AXI_BREADY = '1') then
260 82 JonasDC
              S_AXI_RVALID <= '0';
261 84 JonasDC
              S_AXI_BVALID_i <= '0';
262 82 JonasDC
              state <= addr_wait;
263
            else
264
              state <= response_state;
265
            end if;
266
 
267
        end case;
268
      end if;
269
    end if;
270
  end process;
271 84 JonasDC
  S_AXI_BVALID <= S_AXI_BVALID_i;
272
 
273
  -- place correct data on the read bus
274
  S_AXI_RDATA <=  slv_reg when (slv_reg_selected='1') else
275
                  core_data_out;
276 82 JonasDC
 
277
  -- SLAVE REG MAPPING
278
  -- core control signals
279 84 JonasDC
  core_p_sel <= slv_reg(31 downto 30);
280
  core_dest_op_single <= slv_reg(29 downto 28);
281
  core_x_sel_single <= slv_reg(27 downto 26);
282
  core_y_sel_single <= slv_reg(25 downto 24);
283
  core_start <= slv_reg(23);
284
  core_exp_m <= slv_reg(22);
285
  core_modulus_sel <= slv_reg(21);
286
  reset <= (not S_AXI_ARESETN) or slv_reg(20);
287 82 JonasDC
 
288
  -- implement slave register
289
  SLAVE_REG_WRITE_PROC : process( S_AXI_ACLK ) is
290
  begin
291
    if rising_edge(S_AXI_ACLK) then
292 85 JonasDC
      if reset = '1' then
293 82 JonasDC
        slv_reg <= (others => '0');
294
      elsif load_flags = '1' then
295 84 JonasDC
        slv_reg <= slv_reg(31 downto 16) & core_flags;
296 82 JonasDC
      else
297
        if (slv_reg_write_enable='1') then
298
          slv_reg <= S_AXI_WDATA(31 downto 0);
299
        end if;
300
      end if;
301
    end if;
302
  end process SLAVE_REG_WRITE_PROC;
303
 
304
  -- interrupt and flags
305
  core_interrupt <= core_ready or core_mem_collision or core_fifo_full or core_fifo_nopush;
306 84 JonasDC
  IntrEvent <= core_interrupt;
307 82 JonasDC
 
308 86 JonasDC
  FLAGS_CNTRL_PROC : process(S_AXI_ACLK, reset) is
309 82 JonasDC
  begin
310 85 JonasDC
    if reset = '1' then
311 82 JonasDC
      core_flags <= (others => '0');
312
      load_flags <= '0';
313
    elsif rising_edge(S_AXI_ACLK) then
314
      if core_start = '1' then
315
        core_flags <= (others => '0');
316
      else
317
        if core_ready = '1' then
318
          core_flags(15) <= '1';
319
        else
320
          core_flags(15) <= core_flags(15);
321
        end if;
322
        if core_mem_collision = '1' then
323
          core_flags(14) <= '1';
324
        else
325
          core_flags(14) <= core_flags(14);
326
        end if;
327
        if core_fifo_full = '1' then
328
          core_flags(13) <= '1';
329
        else
330
          core_flags(13) <= core_flags(13);
331
        end if;
332
        if core_fifo_nopush = '1' then
333
          core_flags(12) <= '1';
334
        else
335
          core_flags(12) <= core_flags(12);
336
        end if;
337
      end if;
338
      load_flags <= core_interrupt;
339
    end if;
340
  end process FLAGS_CNTRL_PROC;
341
 
342
  -- adress decoder
343
  with address(14 downto 12) select
344
    cs_array <= "0000001" when "000", -- M
345
                "0000010" when "001", -- OP0
346
                "0000100" when "010", -- OP1
347
                "0001000" when "011", -- OP2
348
                "0010000" when "100", -- OP3
349
                "0100000" when "101", -- FIFO
350
                "1000000" when "110", -- user reg space
351
                "0000000" when others;
352 84 JonasDC
 
353 82 JonasDC
  slv_reg_selected <= cs_array(6);
354
  slv_reg_write_enable <= write_enable and slv_reg_selected;
355
 
356
  -- high if memory space is selected
357
  op_mem_selected <= cs_array(0) or cs_array(1) or cs_array(2) or cs_array(3) or cs_array(4);
358
 
359
  -- operand memory singals
360
  MNO_sel <= cs_array(0);
361
 
362
  with cs_array(4 downto 1) select
363
    op_sel <=   "00" when "0001",
364
                "01" when "0010",
365
                "10" when "0100",
366
                "11" when "1000",
367
                "00" when others;
368
 
369
  core_rw_address <= MNO_sel & op_sel & address(7 downto 2);
370
 
371
  core_write_enable <= write_enable and op_mem_selected;
372
 
373
 
374
  -- FIFO signals
375
  core_fifo_push <= write_enable and cs_array(5);
376
 
377
  ------------------------------------------
378
  -- Exponentiation core instance
379
  ------------------------------------------
380
  msec: entity mod_sim_exp.mod_sim_exp_core
381
  generic map(
382
    C_NR_BITS_TOTAL   => C_NR_BITS_TOTAL,
383
    C_NR_STAGES_TOTAL => C_NR_STAGES_TOTAL,
384
    C_NR_STAGES_LOW   => C_NR_STAGES_LOW,
385
    C_SPLIT_PIPELINE  => C_SPLIT_PIPELINE,
386
    C_FIFO_DEPTH      => C_FIFO_DEPTH,
387
    C_MEM_STYLE       => C_MEM_STYLE,
388 84 JonasDC
    C_FPGA_MAN        => C_FPGA_MAN
389 82 JonasDC
  )
390
  port map(
391 89 JonasDC
    bus_clk   => S_AXI_ACLK,
392
    core_clk  => core_clk,
393 82 JonasDC
    reset => reset,
394
      -- operand memory interface (plb shared memory)
395
    write_enable => core_write_enable,
396
    data_in      => S_AXI_WDATA(31 downto 0),
397
    rw_address   => core_rw_address,
398
    data_out     => core_data_out,
399
    collision    => core_mem_collision,
400
      -- op_sel fifo interface
401
    fifo_din    => S_AXI_WDATA(31 downto 0),
402
    fifo_push   => core_fifo_push,
403
    fifo_full   => core_fifo_full,
404
    fifo_nopush => core_fifo_nopush,
405
      -- ctrl signals
406
    start          => core_start,
407
    exp_m          => core_exp_m,
408
    ready          => core_ready,
409
    x_sel_single   => core_x_sel_single,
410
    y_sel_single   => core_y_sel_single,
411
    dest_op_single => core_dest_op_single,
412
    p_sel          => core_p_sel,
413
    calc_time      => calc_time,
414
    modulus_sel    => core_modulus_sel
415
  );
416
 
417 84 JonasDC
end IMP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.