OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [ram/] [dpramblock_asym.vhd] - Blame information for rev 94

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 JonasDC
----------------------------------------------------------------------  
2
----  dpramblock_asym                                             ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    structural description of an asymmetric dual port ram     ----
10
----    with one 32-bit write port and one (width)-bit read       ----
11
----    port.                                                     ----            
12
----                                                              ---- 
13
----  Dependencies: dpram_asym                                    ----
14
----                                                              ----
15
----  Authors:                                                    ----
16
----      - Geoffrey Ottoy, DraMCo research group                 ----
17
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
18
----                                                              ---- 
19
---------------------------------------------------------------------- 
20
----                                                              ---- 
21
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
22
----                                                              ---- 
23
---- This source file may be used and distributed without         ---- 
24
---- restriction provided that this copyright statement is not    ---- 
25
---- removed from the file and that any derivative work contains  ---- 
26
---- the original copyright notice and the associated disclaimer. ---- 
27
----                                                              ---- 
28
---- This source file is free software; you can redistribute it   ---- 
29
---- and/or modify it under the terms of the GNU Lesser General   ---- 
30
---- Public License as published by the Free Software Foundation; ---- 
31
---- either version 2.1 of the License, or (at your option) any   ---- 
32
---- later version.                                               ---- 
33
----                                                              ---- 
34
---- This source is distributed in the hope that it will be       ---- 
35
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
36
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
37
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
38
---- details.                                                     ---- 
39
----                                                              ---- 
40
---- You should have received a copy of the GNU Lesser General    ---- 
41
---- Public License along with this source; if not, download it   ---- 
42
---- from http://www.opencores.org/lgpl.shtml                     ---- 
43
----                                                              ---- 
44
----------------------------------------------------------------------
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_unsigned.all;
49
use ieee.std_logic_arith.all;
50
 
51
library mod_sim_exp;
52
use mod_sim_exp.std_functions.all;
53 83 JonasDC
use mod_sim_exp.mod_sim_exp_pkg.all;
54 66 JonasDC
 
55
-- altera infers ramblocks from a depth of 9 (or 2 with any ram size recognition option on) 
56
--              and width 64,128,256,512,1024
57
-- xilinx infers ramblocks from a depth of 2 and width 32,64,128,256,512,1024
58
entity dpramblock_asym is
59
  generic (
60
    width  : integer := 256;  -- read width
61
    depth  : integer := 2;    -- nr of (width)-bit words
62
    device : string  := "xilinx"
63
  );
64
  port (
65 94 JonasDC
    -- write port A
66
    clkA   : in std_logic;
67
    waddrA : in std_logic_vector(log2((width*depth)/32)-1 downto 0);
68
    weA    : in std_logic;
69
    dinA   : in std_logic_vector(31 downto 0);
70
    -- read port B
71
    clkB   : in std_logic;
72
    raddrB : in std_logic_vector(log2(depth)-1 downto 0);
73
    doutB  : out std_logic_vector(width-1 downto 0)
74 66 JonasDC
  );
75
end dpramblock_asym;
76
 
77
architecture structural of dpramblock_asym is
78
  -- constants
79
  constant nrRAMs       : integer := width/32;
80
  constant RAMwrwidth   : integer := 32/nrRAMs;
81
 
82
  -- interconnection signals
83
  type word_array is array (nrRAMs-1 downto 0) of std_logic_vector(31 downto 0);
84
  signal dout_RAM : word_array;
85
begin
86
  -- generate (width/32) blocks of 32-bit ram with a given depth
87
  -- these rams outputs are concatenated to a width-bit signal
88
  ramblocks : for i in 0 to nrRAMs-1 generate
89 83 JonasDC
    ramblock: dpram_asym
90 66 JonasDC
    generic map(
91
      rddepth => depth,
92
      wrwidth => RAMwrwidth,
93
      device  => device
94
    )
95
    port map(
96 94 JonasDC
 
97 66 JonasDC
      -- write port
98 94 JonasDC
      clkA   => clkA,
99
      waddrA => waddrA,
100
      weA    => weA,
101
      dinA   => dinA((i+1)*RAMwrwidth-1 downto RAMwrwidth*i),
102 66 JonasDC
      -- read port
103 94 JonasDC
      clkB   => clkB,
104
      raddrB => raddrB,
105
      doutB  => dout_RAM(i)
106 66 JonasDC
    );
107
 
108
    map_output : for j in 0 to nrRAMs-1 generate
109 94 JonasDC
      doutB(j*32+(i+1)*RAMwrwidth-1 downto j*32+i*RAMwrwidth)
110 66 JonasDC
          <= dout_RAM(i)((j+1)*RAMwrwidth-1 downto j*RAMwrwidth);
111
    end generate;
112
  end generate;
113
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.