OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [ram/] [tdpram_asym.vhd] - Blame information for rev 94

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 JonasDC
----------------------------------------------------------------------  
2
----  tdpram_asym                                                 ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    behavorial description of an asymmetric true dual port    ----
10
----    ram with one (widthA)-bit read/write port and one 32-bit  ----
11
----    read/write port. Made using the templates of xilinx and   ----
12
----    altera for asymmetric ram.                                ----
13
----                                                              ---- 
14
----  Dependencies: none                                          ----
15
----                                                              ----
16
----  Authors:                                                    ----
17
----      - Geoffrey Ottoy, DraMCo research group                 ----
18
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
19
----                                                              ---- 
20
---------------------------------------------------------------------- 
21
----                                                              ---- 
22
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
23
----                                                              ---- 
24
---- This source file may be used and distributed without         ---- 
25
---- restriction provided that this copyright statement is not    ---- 
26
---- removed from the file and that any derivative work contains  ---- 
27
---- the original copyright notice and the associated disclaimer. ---- 
28
----                                                              ---- 
29
---- This source file is free software; you can redistribute it   ---- 
30
---- and/or modify it under the terms of the GNU Lesser General   ---- 
31
---- Public License as published by the Free Software Foundation; ---- 
32
---- either version 2.1 of the License, or (at your option) any   ---- 
33
---- later version.                                               ---- 
34
----                                                              ---- 
35
---- This source is distributed in the hope that it will be       ---- 
36
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
37
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
38
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
39
---- details.                                                     ---- 
40
----                                                              ---- 
41
---- You should have received a copy of the GNU Lesser General    ---- 
42
---- Public License along with this source; if not, download it   ---- 
43
---- from http://www.opencores.org/lgpl.shtml                     ---- 
44
----                                                              ---- 
45
----------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
use ieee.std_logic_unsigned.all;
50
use ieee.std_logic_arith.all;
51
 
52
library mod_sim_exp;
53
use mod_sim_exp.std_functions.all;
54
 
55
-- altera infers ramblocks from a depth of 9 (or 2 with any ram size recognition 
56
-- option on or contstraint below on) and widthA 1,2,4,8,16
57
-- xilinx infers ramblocks from a depth of 2 and widthA 1,2,4,8,16,32
58
entity tdpram_asym is
59
  generic (
60
    depthB : integer := 4; -- nr of 32-bit words
61
    widthA : integer := 2;  -- port A width, must be smaller than or equal to 32
62
    device : string  := "xilinx"
63
  );
64
  port  (
65
    -- port A (widthA)-bit
66 94 JonasDC
    clkA  : in std_logic;
67 66 JonasDC
    addrA : in std_logic_vector(log2((depthB*32)/widthA)-1 downto 0);
68
    weA   : in std_logic;
69
    dinA  : in std_logic_vector(widthA-1 downto 0);
70
    doutA : out std_logic_vector(widthA-1 downto 0);
71
    -- port B 32-bit
72 94 JonasDC
    clkB  : in std_logic;
73 66 JonasDC
    addrB : in std_logic_vector(log2(depthB)-1 downto 0);
74
    weB   : in std_logic;
75
    dinB  : in std_logic_vector(31 downto 0);
76
    doutB : out std_logic_vector(31 downto 0)
77
  );
78
end tdpram_asym;
79
 
80
architecture behavorial of tdpram_asym is
81
  -- constants
82
  constant R : natural := 32/widthA; -- ratio
83
begin
84
 
85
  xilinx_device : if device="xilinx" generate
86
    -- An asymmetric RAM is modelled in a similar way as a symmetric RAM, with an
87
    -- array of array object. Its aspect ratio corresponds to the port with the
88
    -- lower data width (larger depth)
89
    type ramType is array (0 to ((depthB*32)/widthA)-1) of std_logic_vector(widthA-1 downto 0);
90
 
91
    -- You need to declare ram as a shared variable when :
92
    --   - the RAM has two write ports,
93
    --   - the RAM has only one write port whose data width is maxWIDTH
94
    -- In all other cases, ram can be a signal.
95 94 JonasDC
    shared variable ram : ramType := (others => (others => '0'));
96 66 JonasDC
 
97
  begin
98
    process (clkA)
99
    begin
100
      if rising_edge(clkA) then
101
        if weA = '1' then
102
          ram(conv_integer(addrA)) := dinA;
103
        end if;
104
        doutA <= ram(conv_integer(addrA));
105
      end if;
106
    end process;
107
 
108
    process (clkB)
109
    begin
110
      if rising_edge(clkB) then
111
        for i in 0 to R-1 loop
112
          if weB = '1' then
113
            ram(conv_integer(addrB & conv_std_logic_vector(i,log2(R))))
114
              := dinB((i+1)*widthA-1 downto i*widthA);
115
          end if;
116
          doutB((i+1)*widthA-1 downto i*widthA)
117
            <= ram(conv_integer(addrB & conv_std_logic_vector(i,log2(R))));
118
        end loop;
119
      end if;
120
    end process;
121
  end generate;
122
 
123
  altera_device : if device="altera" generate
124
    -- Use a multidimensional array to model mixed-width 
125
    type word_t is array(R-1 downto 0) of std_logic_vector(widthA-1 downto 0);
126
    type ram_t is array (0 to depthB-1) of word_t;
127
 
128
    -- altera constraints:
129
    -- for smal depths:
130
    --  if the synthesis option "allow any size of RAM to be inferred" is on, these lines 
131
    --  may be left commented.
132
    --  uncomment this attribute if that option is off and you know wich primitives should be used.
133
    --attribute ramstyle : string;
134
    --attribute ramstyle of RAM : signal is "M9K, no_rw_check";
135
 
136
    -- delcare the RAM
137
    signal ram : ram_t;
138
    signal wB_local : word_t;
139
    signal qB_local : word_t;
140
 
141
  begin  -- rtl
142
    -- Re-organize the write data to match the RAM word type
143
    unpack: for i in 0 to R-1 generate
144
      wB_local(i) <= dinB(widthA*(i+1)-1 downto widthA*i);
145
      doutB(widthA*(i+1)-1 downto widthA*i) <= qB_local(i);
146
    end generate unpack;
147
 
148
    --port B
149 94 JonasDC
    process(clkB)
150 66 JonasDC
    begin
151 94 JonasDC
      if(rising_edge(clkB)) then
152 66 JonasDC
        if(weB = '1') then
153
          ram(conv_integer(addrB)) <= wB_local;
154
        end if;
155
        qB_local <= ram(conv_integer(addrB));
156
      end if;
157
    end process;
158
 
159
    -- port A
160 94 JonasDC
    process(clkA)
161 66 JonasDC
    begin
162 94 JonasDC
      if(rising_edge(clkA)) then
163 66 JonasDC
        doutA <= ram(conv_integer(addrA) / R )(conv_integer(addrA) mod R);
164
        if(weA ='1') then
165
          ram(conv_integer(addrA) / R)(conv_integer(addrA) mod R) <= dinA;
166
        end if;
167
      end if;
168
    end process;
169
  end generate;
170
 
171
end behavorial;
172
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.