OpenCores
URL https://opencores.org/ocsvn/mpeg2fpga/mpeg2fpga/trunk

Subversion Repositories mpeg2fpga

[/] [mpeg2fpga/] [trunk/] [rtl/] [mpeg2/] [synchronizer.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 kdv
/*
2
 * synchronizer.v
3
 *
4
 * Copyright (c) 2007 Koen De Vleeschauwer.
5
 *
6
 * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND
7
 * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
8
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
9
 * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE
10
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
11
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
12
 * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
13
 * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
14
 * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
15
 * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
16
 * SUCH DAMAGE.
17
 */
18
 
19
/*
20
 * reset synchronizer.
21
 * input: asynchronous reset asyncrst_n, active low.
22
 * output: synchronous reset syncrst_n, active low, with a width of four clocks.
23
 *
24
 * After http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_Resets.pdf , par. 6.0
25
 */
26
 
27
`undef DEBUG
28
//`define DEBUG 1
29
 
30
`include "timescale.v"
31
 
32
module sync_reset (clk, asyncrst, syncrst);
33
 
34
  input  clk, asyncrst;
35
  output reg syncrst;
36
 
37
  reg    [3:0]rff1;
38
 
39
  always @(posedge clk or negedge asyncrst)
40
    if (!asyncrst) {syncrst, rff1} <= 5'b0;
41
    else           {syncrst, rff1} <= {rff1, 1'b1};
42
 
43
endmodule
44
 
45
/*
46
 * register synchronizer.
47
 * input: asynchronous register asyncreg
48
 * output: syncreg, register synchronized to clk
49
 * parameter 'width' determines register width.
50
 */
51
 
52
module sync_reg (clk, rst, asyncreg, syncreg);
53
 
54
parameter width=8;
55
 
56
  input                clk;
57
  input                rst;  // synchronous with clk
58
  input     [width-1:0]asyncreg;
59
  output reg[width-1:0]syncreg;
60
 
61
 
62
  reg   [width-1:0]rff1;
63
 
64
  always @(posedge clk or negedge rst)
65
    if (!rst) {syncreg, rff1} <= {(2*width){1'b0}};
66
    else      {syncreg, rff1} <= {rff1, asyncreg};
67
 
68
`ifdef DEBUG
69
  always @(posedge clk)
70
    $strobe("%m\trst: %h asyncreg : %h syncreg: %h", rst, asyncreg, syncreg);
71
`endif
72
 
73
endmodule
74
/* not truncated */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.