OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [web_uploads/] [lint/] [2004-05-09_22-11-53.log] - Blame information for rev 1767

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1767 root
 
2
This is autmated message from Lint Design Rule Checker because you
3
imported/commited changest to your project CVS. If you want to disable
4
Lint Checker go to project's adminitstration page and remove Lint from
5
project properties list.
6
 
7
Lint reports for this project are also available on the web
8
Archive: http://www.opencores.org/pfbrowser.cgi/list/or1k?folder=lint
9
This report: http://www.opencores.org/projects.cgi/web/or1k/lint/2004-05-09_22-11-53.log
10
 
11
------------------------------------------------------------
12
 
13
Checking folder: or1k/orp/orp_soc/rtl/verilog/or1200
14
logDir = /tmp/lint/nLintLog
15
rcfile = /opt/nLint/etc/nLint.rc
16
 
17
nLint - A HDL Design Rule Checker, Release 2.2v12 (Linux) 01/19/2004
18
Copyright (C) 1996 - 2003 by Novas Software, Inc.
19
Loading RS files ...
20
  Load RS file /opt/nLint/rules/opencores.rs
21
Merging RS files ...
22
Sun May  9 22:11:34 2004 Starting lint ...
23
Import design
24
Analyzing...
25
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v"
26
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
27
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
28
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v"
29
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
30
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
31
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v"
32
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
33
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
34
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v"
35
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
36
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
37
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v"
38
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
39
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
40
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v"
41
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
42
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
43
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v"
44
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
45
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
46
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v"
47
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
48
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
49
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v"
50
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
51
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
52
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
53
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v"
54
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
55
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
56
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v"
57
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
58
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
59
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v"
60
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
61
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
62
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v"
63
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
64
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
65
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v"
66
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
67
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
68
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v"
69
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
70
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
71
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v"
72
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
73
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
74
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v"
75
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
76
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
77
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v"
78
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
79
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
80
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v"
81
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
82
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
83
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v"
84
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
85
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
86
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v"
87
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
88
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
89
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v"
90
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
91
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
92
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v"
93
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
94
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
95
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v"
96
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
97
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
98
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v"
99
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
100
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
101
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v"
102
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
103
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
104
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v"
105
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
106
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
107
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v"
108
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
109
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
110
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v"
111
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
112
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
113
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v"
114
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
115
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
116
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v"
117
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
118
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
119
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v"
120
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
121
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
122
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v"
123
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
124
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
125
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v"
126
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
127
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
128
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v"
129
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
130
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
131
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v"
132
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
133
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
134
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v"
135
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
136
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
137
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v"
138
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
139
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
140
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v"
141
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
142
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
143
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v"
144
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
145
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
146
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v"
147
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
148
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
149
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v"
150
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
151
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
152
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v"
153
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
154
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
155
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v"
156
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
157
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
158
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v"
159
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
160
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
161
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v"
162
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
163
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
164
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v"
165
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
166
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
167
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v"
168
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
169
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
170
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v"
171
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
172
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
173
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v"
174
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
175
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
176
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v"
177
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
178
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
179
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v"
180
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
181
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
182
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v"
183
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
184
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
185
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v"
186
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
187
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
188
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v"
189
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
190
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
191
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v"
192
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
193
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
194
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_xcv_ram32x8d.v"
195
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
196
    included file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v"
197
  source file "/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/timescale.v"
198
End of importing design. Memory used 12147376. Time used 2.
199
 
200
 
201
Linting...
202
Loading nLint options from : nLint.rc
203
   -wn 50000
204
Rule setting file = /opt/nLint/rules/opencores.rs.
205
Report db file = /tmp/lint/nlReport.rdb.
206
processing module "or1200_rfram_generic"...
207
traverse RTL
208
traverse statements
209
processing module "or1200_sb_fifo"...
210
traverse RTL
211
traverse statements
212
processing module "or1200_spram_1024x8"...
213
traverse RTL
214
traverse statements
215
processing module "or1200_spram_128x32"...
216
traverse RTL
217
traverse statements
218
processing module "or1200_spram_2048x32_bw"...
219
traverse RTL
220
traverse statements
221
processing module "or1200_spram_2048x8"...
222
traverse RTL
223
traverse statements
224
processing module "or1200_spram_32x24"...
225
traverse RTL
226
traverse statements
227
processing module "or1200_spram_512x20"...
228
traverse RTL
229
traverse statements
230
processing module "or1200_iwb_biu"...
231
traverse RTL
232
traverse statements
233
processing module "or1200_wb_biu"...
234
traverse RTL
235
traverse statements
236
processing module "or1200_spram_64x14"...
237
traverse RTL
238
traverse statements
239
processing module "or1200_spram_64x22"...
240
traverse RTL
241
traverse statements
242
processing module "or1200_immu_tlb"...
243
traverse RTL
244
traverse statements
245
processing module "or1200_immu_top"...
246
traverse RTL
247
traverse statements
248
processing module "or1200_ic_fsm"...
249
traverse RTL
250
traverse statements
251
processing module "or1200_spram_1024x32"...
252
traverse RTL
253
traverse statements
254
processing module "or1200_ic_ram"...
255
traverse RTL
256
traverse statements
257
processing module "or1200_spram_256x21"...
258
traverse RTL
259
traverse statements
260
processing module "or1200_ic_tag"...
261
traverse RTL
262
traverse statements
263
processing module "or1200_ic_top"...
264
traverse RTL
265
traverse statements
266
processing module "or1200_genpc"...
267
traverse RTL
268
traverse statements
269
processing module "or1200_if"...
270
traverse RTL
271
traverse statements
272
processing module "or1200_ctrl"...
273
traverse RTL
274
traverse statements
275
processing module "or1200_dpram_32x32"...
276
traverse RTL
277
traverse statements
278
processing module "or1200_rf"...
279
traverse RTL
280
traverse statements
281
processing module "or1200_operandmuxes"...
282
traverse RTL
283
traverse statements
284
processing module "or1200_alu"...
285
traverse RTL
286
traverse statements
287
processing module "or1200_gmultp2_32x32"...
288
traverse RTL
289
traverse statements
290
processing module "or1200_mult_mac"...
291
traverse RTL
292
traverse statements
293
processing module "or1200_sprs"...
294
traverse RTL
295
traverse statements
296
processing module "or1200_mem2reg"...
297
traverse RTL
298
traverse statements
299
processing module "or1200_reg2mem"...
300
traverse RTL
301
traverse statements
302
processing module "or1200_lsu"...
303
traverse RTL
304
traverse statements
305
processing module "or1200_wbmux"...
306
traverse RTL
307
traverse statements
308
processing module "or1200_freeze"...
309
traverse RTL
310
traverse statements
311
processing module "or1200_except"...
312
traverse RTL
313
traverse statements
314
processing module "or1200_cfgr"...
315
traverse RTL
316
traverse statements
317
processing module "or1200_cpu"...
318
traverse RTL
319
traverse statements
320
processing module "or1200_spram_64x24"...
321
traverse RTL
322
traverse statements
323
processing module "or1200_dmmu_tlb"...
324
traverse RTL
325
traverse statements
326
processing module "or1200_dmmu_top"...
327
traverse RTL
328
traverse statements
329
processing module "or1200_dc_fsm"...
330
traverse RTL
331
traverse statements
332
processing module "or1200_spram_1024x32_bw"...
333
traverse RTL
334
traverse statements
335
processing module "or1200_dc_ram"...
336
traverse RTL
337
traverse statements
338
processing module "or1200_dc_tag"...
339
traverse RTL
340
traverse statements
341
processing module "or1200_dc_top"...
342
traverse RTL
343
traverse statements
344
processing module "or1200_spram_2048x32"...
345
traverse RTL
346
traverse statements
347
processing module "or1200_qmem_top"...
348
traverse RTL
349
traverse statements
350
processing module "or1200_sb"...
351
traverse RTL
352
traverse statements
353
processing module "or1200_du"...
354
traverse RTL
355
traverse statements
356
processing module "or1200_pic"...
357
traverse RTL
358
traverse statements
359
processing module "or1200_tt"...
360
traverse RTL
361
traverse statements
362
processing module "or1200_pm"...
363
traverse RTL
364
traverse statements
365
processing module "or1200_top"...
366
traverse RTL
367
traverse statements
368
processing module "or1200_tpram_32x32"...
369
traverse RTL
370
traverse statements
371
"22055 buffered clock" will not be checked since DFT option is "pass through buffers".
372
"22054 inverted clock" may not be checked since DFT option is "pass through inverter pair".
373
nLint has not created clock domain,some enabled rules(for example:21057) which need clock domain will not be checked!
374
Collect port information and checking driver/load, load/load mis-match rules...
375
End of checking driver/load, load/load mis-match rules. Memory used 27384808. Time used 2
376
Collect in-out port information and check global rules like loop...
377
End of checking loop-like global rules. Memory used 32342848. Time used 3
378
End of checking clock domain rules.
379
 
380
Lint processing done.
381
Sun May  9 22:11:52 2004 End of lint.
382
@nLint message generated warning file.
383
 
384
*** Working Directory [/tmp/lint] ***
385
 
386
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(373): Error 16651: view RAMB4_S16_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
387
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(394): Error 16651: view RAMB4_S16_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
388
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1700): Error 16651: view RAMB4_S16_S16 is not defined for inst tbia_ramb4_s16_0 (Compilation & Elaboration)
389
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1718): Error 16651: view RAMB4_S16_S16 is not defined for inst tbia_ramb4_s16_1 (Compilation & Elaboration)
390
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1736): Error 16651: view RAMB4_S16_S16 is not defined for inst tbim_ramb4_s16_0 (Compilation & Elaboration)
391
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1754): Error 16651: view RAMB4_S16_S16 is not defined for inst tbim_ramb4_s16_1 (Compilation & Elaboration)
392
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1772): Error 16651: view RAMB4_S16_S16 is not defined for inst tbar_ramb4_s16_0 (Compilation & Elaboration)
393
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1790): Error 16651: view RAMB4_S16_S16 is not defined for inst tbar_ramb4_s16_1 (Compilation & Elaboration)
394
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1808): Error 16651: view RAMB4_S16_S16 is not defined for inst tbts_ramb4_s16_0 (Compilation & Elaboration)
395
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1826): Error 16651: view RAMB4_S16_S16 is not defined for inst tbts_ramb4_s16_1 (Compilation & Elaboration)
396
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(276): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_0 (Compilation & Elaboration)
397
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(289): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_1 (Compilation & Elaboration)
398
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(302): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_2 (Compilation & Elaboration)
399
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(315): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_3 (Compilation & Elaboration)
400
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(328): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_4 (Compilation & Elaboration)
401
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(341): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_5 (Compilation & Elaboration)
402
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(354): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_6 (Compilation & Elaboration)
403
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(367): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_7 (Compilation & Elaboration)
404
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(329): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_0 (Compilation & Elaboration)
405
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(342): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_1 (Compilation & Elaboration)
406
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(355): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_2 (Compilation & Elaboration)
407
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(368): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_3 (Compilation & Elaboration)
408
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(381): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_4 (Compilation & Elaboration)
409
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(394): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_5 (Compilation & Elaboration)
410
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(407): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_6 (Compilation & Elaboration)
411
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(420): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_7 (Compilation & Elaboration)
412
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(273): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_0 (Compilation & Elaboration)
413
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(286): Error 16651: view RAMB4_S4 is not defined for inst ramb4_s4_1 (Compilation & Elaboration)
414
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(189): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
415
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(202): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
416
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(276): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_0 (Compilation & Elaboration)
417
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(289): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_1 (Compilation & Elaboration)
418
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(302): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_2 (Compilation & Elaboration)
419
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(315): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_3 (Compilation & Elaboration)
420
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(328): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_4 (Compilation & Elaboration)
421
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(341): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_5 (Compilation & Elaboration)
422
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(354): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_6 (Compilation & Elaboration)
423
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(367): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_7 (Compilation & Elaboration)
424
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(380): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_8 (Compilation & Elaboration)
425
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(393): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_9 (Compilation & Elaboration)
426
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(406): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_10 (Compilation & Elaboration)
427
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(419): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_11 (Compilation & Elaboration)
428
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(432): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_12 (Compilation & Elaboration)
429
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(445): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_13 (Compilation & Elaboration)
430
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(458): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_14 (Compilation & Elaboration)
431
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(471): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_15 (Compilation & Elaboration)
432
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(332): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_0 (Compilation & Elaboration)
433
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(345): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_1 (Compilation & Elaboration)
434
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(358): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_2 (Compilation & Elaboration)
435
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(371): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_3 (Compilation & Elaboration)
436
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(383): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_4 (Compilation & Elaboration)
437
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(396): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_5 (Compilation & Elaboration)
438
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(409): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_6 (Compilation & Elaboration)
439
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(422): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_7 (Compilation & Elaboration)
440
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(434): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_8 (Compilation & Elaboration)
441
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(447): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_9 (Compilation & Elaboration)
442
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(460): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_10 (Compilation & Elaboration)
443
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(473): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_11 (Compilation & Elaboration)
444
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(485): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_12 (Compilation & Elaboration)
445
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(498): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_13 (Compilation & Elaboration)
446
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(511): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_14 (Compilation & Elaboration)
447
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(524): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_15 (Compilation & Elaboration)
448
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(273): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_0 (Compilation & Elaboration)
449
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(286): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_1 (Compilation & Elaboration)
450
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(299): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_2 (Compilation & Elaboration)
451
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(312): Error 16651: view RAMB4_S2 is not defined for inst ramb4_s2_3 (Compilation & Elaboration)
452
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(283): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
453
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(296): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
454
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(196): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
455
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(209): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
456
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(280): Error 16651: view RAMB4_S8 is not defined for inst ramb4_s8_0 (Compilation & Elaboration)
457
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(293): Error 16651: view RAMB4_S8 is not defined for inst ramb4_s8_1 (Compilation & Elaboration)
458
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(306): Error 16651: view RAMB4_S8 is not defined for inst ramb4_s8_2 (Compilation & Elaboration)
459
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(274): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
460
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(274): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
461
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(287): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
462
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(277): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_0 (Compilation & Elaboration)
463
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(290): Error 16651: view RAMB4_S16 is not defined for inst ramb4_s16_1 (Compilation & Elaboration)
464
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(226): Error 16651: view RAMB4_S16_S16 is not defined for inst ramb4_s16_s16_0 (Compilation & Elaboration)
465
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(247): Error 16651: view RAMB4_S16_S16 is not defined for inst ramb4_s16_s16_1 (Compilation & Elaboration)
466
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(89): Warning 21001: signal "X" should be named in CASE_LOWER case (OC)
467
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(90): Warning 21001: signal "Y" should be named in CASE_LOWER case (OC)
468
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(91): Warning 21001: signal "CLK" should be named in CASE_LOWER case (OC)
469
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(92): Warning 21001: signal "RST" should be named in CASE_LOWER case (OC)
470
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(93): Warning 21001: signal "P" should be named in CASE_LOWER case (OC)
471
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(115): Warning 21013: SUB_STRING "clk" should be added to clock signal "CLK" (OC)
472
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(116): Warning 21015: SUB_STRING "rst" should be added to reset signal "RST" (OC)
473
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(371): Warning 21015: SUB_STRING "rst" should be added to reset signal "except_started" (OC)
474
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(418): Warning 21025: port "except_prefix" and its connection "sr" should be similar (OC)
475
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(420): Warning 21025: port "lr_restor" and its connection "operand_b" should be similar (OC)
476
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(423): Warning 21025: port "binsn_addr" and its connection "lr_sav" should be similar (OC)
477
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(425): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
478
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(426): Warning 21025: port "spr_pc_we" and its connection "pc_we" should be similar (OC)
479
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(512): Warning 21025: port "supv" and its connection "sr" should be similar (OC)
480
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(517): Warning 21025: port "we" and its connection "rfwb_op" should be similar (OC)
481
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(526): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
482
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(528): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
483
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(529): Warning 21025: port "spr_dat_o" and its connection "spr_dat_rf" should be similar (OC)
484
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(542): Warning 21025: port "ex_forw" and its connection "rf_dataw" should be similar (OC)
485
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(565): Warning 21025: port "result" and its connection "alu_dataout" should be similar (OC)
486
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(587): Warning 21025: port "mac_stall_r" and its connection "mac_stall" should be similar (OC)
487
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(589): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
488
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(591): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
489
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(592): Warning 21025: port "spr_dat_o" and its connection "spr_dat_mac" should be similar (OC)
490
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(601): Warning 21025: port "addrbase" and its connection "operand_a" should be similar (OC)
491
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(602): Warning 21025: port "addrofs" and its connection "spr_addrimm" should be similar (OC)
492
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(603): Warning 21025: port "dat_i" and its connection "operand_b" should be similar (OC)
493
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(611): Warning 21025: port "to_wbmux" and its connection "sprs_dataout" should be similar (OC)
494
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(631): Warning 21025: port "spr_dat_o" and its connection "spr_dat_cpu" should be similar (OC)
495
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(654): Warning 21025: port "addrbase" and its connection "operand_a" should be similar (OC)
496
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(657): Warning 21025: port "lsu_datain" and its connection "operand_b" should be similar (OC)
497
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(688): Warning 21025: port "muxin_a" and its connection "alu_dataout" should be similar (OC)
498
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(689): Warning 21025: port "muxin_b" and its connection "lsu_dataout" should be similar (OC)
499
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(690): Warning 21025: port "muxin_c" and its connection "sprs_dataout" should be similar (OC)
500
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(692): Warning 21025: port "muxout" and its connection "rf_dataw" should be similar (OC)
501
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(693): Warning 21025: port "muxreg" and its connection "wb_forw" should be similar (OC)
502
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(694): Warning 21025: port "muxreg_valid" and its connection "wbforw_valid" should be similar (OC)
503
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(728): Warning 21025: port "sig_ibuserr" and its connection "except_ibuserr" should be similar (OC)
504
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(729): Warning 21025: port "sig_dbuserr" and its connection "except_dbuserr" should be similar (OC)
505
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(730): Warning 21025: port "sig_illegal" and its connection "except_illegal" should be similar (OC)
506
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(731): Warning 21025: port "sig_align" and its connection "except_align" should be similar (OC)
507
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(733): Warning 21025: port "sig_dtlbmiss" and its connection "except_dtlbmiss" should be similar (OC)
508
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(734): Warning 21025: port "sig_dmmufault" and its connection "except_dmmufault" should be similar (OC)
509
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(738): Warning 21025: port "sig_itlbmiss" and its connection "except_itlbmiss" should be similar (OC)
510
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(739): Warning 21025: port "sig_immufault" and its connection "except_immufault" should be similar (OC)
511
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(764): Warning 21025: port "datain" and its connection "operand_b" should be similar (OC)
512
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(774): Warning 21025: port "lsu_addr" and its connection "dcpu_adr_o" should be similar (OC)
513
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(786): Warning 21025: port "spr_dat_o" and its connection "spr_dat_cfgr" should be similar (OC)
514
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(150): Warning 21025: port "ce" and its connection "en" should be similar (OC)
515
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(154): Warning 21025: port "di" and its connection "datain" should be similar (OC)
516
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(155): Warning 21025: port "do" and its connection "dataout" should be similar (OC)
517
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(148): Warning 21025: port "ce" and its connection "en" should be similar (OC)
518
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(152): Warning 21025: port "di" and its connection "datain" should be similar (OC)
519
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(291): Warning 21025: port "biudata_valid" and its connection "dcsb_ack_i" should be similar (OC)
520
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(292): Warning 21025: port "biudata_error" and its connection "dcsb_err_i" should be similar (OC)
521
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(293): Warning 21025: port "start_addr" and its connection "dcqmem_adr_i" should be similar (OC)
522
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(321): Warning 21025: port "datain" and its connection "to_dcram" should be similar (OC)
523
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(322): Warning 21025: port "dataout" and its connection "from_dcram" should be similar (OC)
524
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(260): Warning 21025: port "ce" and its connection "tlb_mr_en" should be similar (OC)
525
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(263): Warning 21025: port "addr" and its connection "tlb_index" should be similar (OC)
526
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(264): Warning 21025: port "di" and its connection "tlb_mr_ram_in" should be similar (OC)
527
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(265): Warning 21025: port "do" and its connection "tlb_mr_ram_out" should be similar (OC)
528
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(280): Warning 21025: port "ce" and its connection "tlb_tr_en" should be similar (OC)
529
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(283): Warning 21025: port "addr" and its connection "tlb_index" should be similar (OC)
530
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(284): Warning 21025: port "di" and its connection "tlb_tr_ram_in" should be similar (OC)
531
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(285): Warning 21025: port "do" and its connection "tlb_tr_ram_out" should be similar (OC)
532
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(326): Warning 21025: port "vaddr" and its connection "dcpu_adr_i" should be similar (OC)
533
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(343): Warning 21025: port "spr_cs" and its connection "dtlb_spr_access" should be similar (OC)
534
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(347): Warning 21025: port "spr_dat_o" and its connection "dtlb_dat_o" should be similar (OC)
535
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(155): Warning 21025: port "ce" and its connection "en" should be similar (OC)
536
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(159): Warning 21025: port "di" and its connection "datain" should be similar (OC)
537
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(160): Warning 21025: port "do" and its connection "dataout" should be similar (OC)
538
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(165): Warning 21025: port "ce" and its connection "en" should be similar (OC)
539
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(169): Warning 21025: port "di" and its connection "datain" should be similar (OC)
540
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(289): Warning 21025: port "biudata_valid" and its connection "icbiu_ack_i" should be similar (OC)
541
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(290): Warning 21025: port "biudata_error" and its connection "icbiu_err_i" should be similar (OC)
542
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(291): Warning 21025: port "start_addr" and its connection "icqmem_adr_i" should be similar (OC)
543
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(317): Warning 21025: port "datain" and its connection "to_icram" should be similar (OC)
544
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(318): Warning 21025: port "dataout" and its connection "from_icram" should be similar (OC)
545
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(268): Warning 21025: port "ce" and its connection "tlb_mr_en" should be similar (OC)
546
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(271): Warning 21025: port "addr" and its connection "tlb_index" should be similar (OC)
547
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(272): Warning 21025: port "di" and its connection "tlb_mr_ram_in" should be similar (OC)
548
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(273): Warning 21025: port "do" and its connection "tlb_mr_ram_out" should be similar (OC)
549
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(288): Warning 21025: port "ce" and its connection "tlb_tr_en" should be similar (OC)
550
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(291): Warning 21025: port "addr" and its connection "tlb_index" should be similar (OC)
551
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(292): Warning 21025: port "di" and its connection "tlb_tr_ram_in" should be similar (OC)
552
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(293): Warning 21025: port "do" and its connection "tlb_tr_ram_out" should be similar (OC)
553
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(398): Warning 21025: port "vaddr" and its connection "icpu_adr_i" should be similar (OC)
554
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(413): Warning 21025: port "spr_cs" and its connection "itlb_spr_access" should be similar (OC)
555
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(417): Warning 21025: port "spr_dat_o" and its connection "itlb_dat_o" should be similar (OC)
556
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(182): Warning 21025: port "addr" and its connection "dcpu_adr_o" should be similar (OC)
557
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(184): Warning 21025: port "memdata" and its connection "dcpu_dat_i" should be similar (OC)
558
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(185): Warning 21025: port "regdata" and its connection "lsu_dataout" should be similar (OC)
559
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(192): Warning 21025: port "addr" and its connection "dcpu_adr_o" should be similar (OC)
560
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(194): Warning 21025: port "regdata" and its connection "lsu_datain" should be similar (OC)
561
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(195): Warning 21025: port "memdata" and its connection "dcpu_dat_o" should be similar (OC)
562
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(216): Warning 21025: port "X" and its connection "x" should be similar (OC)
563
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(217): Warning 21025: port "Y" and its connection "y" should be similar (OC)
564
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(218): Warning 21025: port "RST" and its connection "rst" should be similar (OC)
565
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(219): Warning 21025: port "CLK" and its connection "clk" should be similar (OC)
566
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(220): Warning 21025: port "P" and its connection "mul_prod" should be similar (OC)
567
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(417): Warning 21025: port "ce" and its connection "qmem_en" should be similar (OC)
568
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(316): Warning 21025: port "clk_a" and its connection "clk" should be similar (OC)
569
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(317): Warning 21025: port "rst_a" and its connection "rst" should be similar (OC)
570
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(318): Warning 21025: port "ce_a" and its connection "rf_ena" should be similar (OC)
571
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(320): Warning 21025: port "addr_a" and its connection "rf_addra" should be similar (OC)
572
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(321): Warning 21025: port "do_a" and its connection "from_rfa" should be similar (OC)
573
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(324): Warning 21025: port "clk_b" and its connection "clk" should be similar (OC)
574
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(325): Warning 21025: port "rst_b" and its connection "rst" should be similar (OC)
575
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(326): Warning 21025: port "ce_b" and its connection "rf_we" should be similar (OC)
576
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(327): Warning 21025: port "we_b" and its connection "rf_we" should be similar (OC)
577
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(328): Warning 21025: port "addr_b" and its connection "rf_addrw" should be similar (OC)
578
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(329): Warning 21025: port "di_b" and its connection "rf_dataw" should be similar (OC)
579
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(337): Warning 21025: port "clk_a" and its connection "clk" should be similar (OC)
580
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(338): Warning 21025: port "rst_a" and its connection "rst" should be similar (OC)
581
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(339): Warning 21025: port "ce_a" and its connection "rf_enb" should be similar (OC)
582
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(341): Warning 21025: port "addr_a" and its connection "addrb" should be similar (OC)
583
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(342): Warning 21025: port "do_a" and its connection "from_rfb" should be similar (OC)
584
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(345): Warning 21025: port "clk_b" and its connection "clk" should be similar (OC)
585
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(346): Warning 21025: port "rst_b" and its connection "rst" should be similar (OC)
586
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(347): Warning 21025: port "ce_b" and its connection "rf_we" should be similar (OC)
587
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(348): Warning 21025: port "we_b" and its connection "rf_we" should be similar (OC)
588
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(349): Warning 21025: port "addr_b" and its connection "rf_addrw" should be similar (OC)
589
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(350): Warning 21025: port "di_b" and its connection "rf_dataw" should be similar (OC)
590
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(528): Warning 21025: port "biu_dat_o" and its connection "icbiu_dat_biu" should be similar (OC)
591
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(529): Warning 21025: port "biu_ack_o" and its connection "icbiu_ack_biu" should be similar (OC)
592
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(530): Warning 21025: port "biu_err_o" and its connection "icbiu_err_biu" should be similar (OC)
593
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(564): Warning 21025: port "biu_dat_i" and its connection "sbbiu_dat_sb" should be similar (OC)
594
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(565): Warning 21025: port "biu_adr_i" and its connection "sbbiu_adr_sb" should be similar (OC)
595
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(566): Warning 21025: port "biu_cyc_i" and its connection "sbbiu_cyc_sb" should be similar (OC)
596
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(567): Warning 21025: port "biu_stb_i" and its connection "sbbiu_stb_sb" should be similar (OC)
597
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(568): Warning 21025: port "biu_we_i" and its connection "sbbiu_we_sb" should be similar (OC)
598
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(569): Warning 21025: port "biu_sel_i" and its connection "sbbiu_sel_sb" should be similar (OC)
599
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(570): Warning 21025: port "biu_cab_i" and its connection "sbbiu_cab_sb" should be similar (OC)
600
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(571): Warning 21025: port "biu_dat_o" and its connection "sbbiu_dat_biu" should be similar (OC)
601
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(572): Warning 21025: port "biu_ack_o" and its connection "sbbiu_ack_biu" should be similar (OC)
602
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(573): Warning 21025: port "biu_err_o" and its connection "sbbiu_err_biu" should be similar (OC)
603
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(595): Warning 21025: port "icpu_adr_i" and its connection "icpu_adr_cpu" should be similar (OC)
604
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(596): Warning 21025: port "icpu_cycstb_i" and its connection "icpu_cycstb_cpu" should be similar (OC)
605
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(597): Warning 21025: port "icpu_adr_o" and its connection "icpu_adr_immu" should be similar (OC)
606
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(598): Warning 21025: port "icpu_tag_o" and its connection "icpu_tag_immu" should be similar (OC)
607
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(599): Warning 21025: port "icpu_rty_o" and its connection "icpu_rty_immu" should be similar (OC)
608
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(600): Warning 21025: port "icpu_err_o" and its connection "icpu_err_immu" should be similar (OC)
609
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(604): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
610
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(606): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
611
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(607): Warning 21025: port "spr_dat_o" and its connection "spr_dat_immu" should be similar (OC)
612
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(610): Warning 21025: port "qmemimmu_rty_i" and its connection "qmemimmu_rty_qmem" should be similar (OC)
613
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(611): Warning 21025: port "qmemimmu_err_i" and its connection "qmemimmu_err_qmem" should be similar (OC)
614
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(612): Warning 21025: port "qmemimmu_tag_i" and its connection "qmemimmu_tag_qmem" should be similar (OC)
615
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(613): Warning 21025: port "qmemimmu_adr_o" and its connection "qmemimmu_adr_immu" should be similar (OC)
616
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(614): Warning 21025: port "qmemimmu_cycstb_o" and its connection "qmemimmu_cycstb_immu" should be similar (OC)
617
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(615): Warning 21025: port "qmemimmu_ci_o" and its connection "qmemimmu_ci_immu" should be similar (OC)
618
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(634): Warning 21025: port "icqmem_adr_i" and its connection "icqmem_adr_qmem" should be similar (OC)
619
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(635): Warning 21025: port "icqmem_cycstb_i" and its connection "icqmem_cycstb_qmem" should be similar (OC)
620
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(636): Warning 21025: port "icqmem_ci_i" and its connection "icqmem_ci_qmem" should be similar (OC)
621
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(637): Warning 21025: port "icqmem_sel_i" and its connection "icqmem_sel_qmem" should be similar (OC)
622
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(638): Warning 21025: port "icqmem_tag_i" and its connection "icqmem_tag_qmem" should be similar (OC)
623
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(639): Warning 21025: port "icqmem_dat_o" and its connection "icqmem_dat_ic" should be similar (OC)
624
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(640): Warning 21025: port "icqmem_ack_o" and its connection "icqmem_ack_ic" should be similar (OC)
625
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(641): Warning 21025: port "icqmem_rty_o" and its connection "icqmem_rty_ic" should be similar (OC)
626
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(642): Warning 21025: port "icqmem_err_o" and its connection "icqmem_err_ic" should be similar (OC)
627
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(643): Warning 21025: port "icqmem_tag_o" and its connection "icqmem_tag_ic" should be similar (OC)
628
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(647): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
629
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(648): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
630
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(651): Warning 21025: port "icbiu_dat_o" and its connection "icbiu_dat_ic" should be similar (OC)
631
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(652): Warning 21025: port "icbiu_adr_o" and its connection "icbiu_adr_ic" should be similar (OC)
632
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(653): Warning 21025: port "icbiu_cyc_o" and its connection "icbiu_cyc_ic" should be similar (OC)
633
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(654): Warning 21025: port "icbiu_stb_o" and its connection "icbiu_stb_ic" should be similar (OC)
634
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(655): Warning 21025: port "icbiu_we_o" and its connection "icbiu_we_ic" should be similar (OC)
635
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(656): Warning 21025: port "icbiu_sel_o" and its connection "icbiu_sel_ic" should be similar (OC)
636
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(657): Warning 21025: port "icbiu_cab_o" and its connection "icbiu_cab_ic" should be similar (OC)
637
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(658): Warning 21025: port "icbiu_dat_i" and its connection "icbiu_dat_biu" should be similar (OC)
638
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(659): Warning 21025: port "icbiu_ack_i" and its connection "icbiu_ack_biu" should be similar (OC)
639
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(660): Warning 21025: port "icbiu_err_i" and its connection "icbiu_err_biu" should be similar (OC)
640
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(672): Warning 21025: port "icpu_adr_o" and its connection "icpu_adr_cpu" should be similar (OC)
641
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(673): Warning 21025: port "icpu_cycstb_o" and its connection "icpu_cycstb_cpu" should be similar (OC)
642
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(674): Warning 21025: port "icpu_sel_o" and its connection "icpu_sel_cpu" should be similar (OC)
643
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(675): Warning 21025: port "icpu_tag_o" and its connection "icpu_tag_cpu" should be similar (OC)
644
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(676): Warning 21025: port "icpu_dat_i" and its connection "icpu_dat_qmem" should be similar (OC)
645
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(677): Warning 21025: port "icpu_ack_i" and its connection "icpu_ack_qmem" should be similar (OC)
646
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(705): Warning 21025: port "dcpu_adr_o" and its connection "dcpu_adr_cpu" should be similar (OC)
647
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(706): Warning 21025: port "dcpu_cycstb_o" and its connection "dcpu_cycstb_cpu" should be similar (OC)
648
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(707): Warning 21025: port "dcpu_we_o" and its connection "dcpu_we_cpu" should be similar (OC)
649
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(708): Warning 21025: port "dcpu_sel_o" and its connection "dcpu_sel_cpu" should be similar (OC)
650
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(709): Warning 21025: port "dcpu_tag_o" and its connection "dcpu_tag_cpu" should be similar (OC)
651
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(710): Warning 21025: port "dcpu_dat_o" and its connection "dcpu_dat_cpu" should be similar (OC)
652
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(711): Warning 21025: port "dcpu_dat_i" and its connection "dcpu_dat_qmem" should be similar (OC)
653
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(712): Warning 21025: port "dcpu_ack_i" and its connection "dcpu_ack_qmem" should be similar (OC)
654
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(713): Warning 21025: port "dcpu_rty_i" and its connection "dcpu_rty_qmem" should be similar (OC)
655
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(714): Warning 21025: port "dcpu_err_i" and its connection "dcpu_err_dmmu" should be similar (OC)
656
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(715): Warning 21025: port "dcpu_tag_i" and its connection "dcpu_tag_dmmu" should be similar (OC)
657
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(758): Warning 21025: port "dcpu_adr_i" and its connection "dcpu_adr_cpu" should be similar (OC)
658
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(759): Warning 21025: port "dcpu_cycstb_i" and its connection "dcpu_cycstb_cpu" should be similar (OC)
659
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(760): Warning 21025: port "dcpu_we_i" and its connection "dcpu_we_cpu" should be similar (OC)
660
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(761): Warning 21025: port "dcpu_tag_o" and its connection "dcpu_tag_dmmu" should be similar (OC)
661
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(762): Warning 21025: port "dcpu_err_o" and its connection "dcpu_err_dmmu" should be similar (OC)
662
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(766): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
663
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(768): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
664
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(769): Warning 21025: port "spr_dat_o" and its connection "spr_dat_dmmu" should be similar (OC)
665
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(772): Warning 21025: port "qmemdmmu_err_i" and its connection "qmemdmmu_err_qmem" should be similar (OC)
666
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(773): Warning 21025: port "qmemdmmu_tag_i" and its connection "qmemdmmu_tag_qmem" should be similar (OC)
667
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(774): Warning 21025: port "qmemdmmu_adr_o" and its connection "qmemdmmu_adr_dmmu" should be similar (OC)
668
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(775): Warning 21025: port "qmemdmmu_cycstb_o" and its connection "qmemdmmu_cycstb_dmmu" should be similar (OC)
669
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(776): Warning 21025: port "qmemdmmu_ci_o" and its connection "qmemdmmu_ci_dmmu" should be similar (OC)
670
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(795): Warning 21025: port "dcqmem_adr_i" and its connection "dcqmem_adr_qmem" should be similar (OC)
671
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(796): Warning 21025: port "dcqmem_cycstb_i" and its connection "dcqmem_cycstb_qmem" should be similar (OC)
672
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(797): Warning 21025: port "dcqmem_ci_i" and its connection "dcqmem_ci_qmem" should be similar (OC)
673
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(798): Warning 21025: port "dcqmem_we_i" and its connection "dcqmem_we_qmem" should be similar (OC)
674
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(799): Warning 21025: port "dcqmem_sel_i" and its connection "dcqmem_sel_qmem" should be similar (OC)
675
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(800): Warning 21025: port "dcqmem_tag_i" and its connection "dcqmem_tag_qmem" should be similar (OC)
676
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(801): Warning 21025: port "dcqmem_dat_i" and its connection "dcqmem_dat_qmem" should be similar (OC)
677
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(802): Warning 21025: port "dcqmem_dat_o" and its connection "dcqmem_dat_dc" should be similar (OC)
678
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(803): Warning 21025: port "dcqmem_ack_o" and its connection "dcqmem_ack_dc" should be similar (OC)
679
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(804): Warning 21025: port "dcqmem_rty_o" and its connection "dcqmem_rty_dc" should be similar (OC)
680
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(805): Warning 21025: port "dcqmem_err_o" and its connection "dcqmem_err_dc" should be similar (OC)
681
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(806): Warning 21025: port "dcqmem_tag_o" and its connection "dcqmem_tag_dc" should be similar (OC)
682
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(810): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
683
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(811): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
684
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(814): Warning 21025: port "dcsb_dat_o" and its connection "dcsb_dat_dc" should be similar (OC)
685
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(815): Warning 21025: port "dcsb_adr_o" and its connection "dcsb_adr_dc" should be similar (OC)
686
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(816): Warning 21025: port "dcsb_cyc_o" and its connection "dcsb_cyc_dc" should be similar (OC)
687
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(817): Warning 21025: port "dcsb_stb_o" and its connection "dcsb_stb_dc" should be similar (OC)
688
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(818): Warning 21025: port "dcsb_we_o" and its connection "dcsb_we_dc" should be similar (OC)
689
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(819): Warning 21025: port "dcsb_sel_o" and its connection "dcsb_sel_dc" should be similar (OC)
690
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(820): Warning 21025: port "dcsb_cab_o" and its connection "dcsb_cab_dc" should be similar (OC)
691
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(821): Warning 21025: port "dcsb_dat_i" and its connection "dcsb_dat_sb" should be similar (OC)
692
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(822): Warning 21025: port "dcsb_ack_i" and its connection "dcsb_ack_sb" should be similar (OC)
693
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(823): Warning 21025: port "dcsb_err_i" and its connection "dcsb_err_sb" should be similar (OC)
694
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(844): Warning 21025: port "qmemicpu_sel_i" and its connection "icpu_sel_cpu" should be similar (OC)
695
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(845): Warning 21025: port "qmemicpu_tag_i" and its connection "icpu_tag_cpu" should be similar (OC)
696
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(846): Warning 21025: port "qmemicpu_dat_o" and its connection "icpu_dat_qmem" should be similar (OC)
697
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(847): Warning 21025: port "qmemicpu_ack_o" and its connection "icpu_ack_qmem" should be similar (OC)
698
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(848): Warning 21025: port "qmemimmu_rty_o" and its connection "qmemimmu_rty_qmem" should be similar (OC)
699
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(849): Warning 21025: port "qmemimmu_err_o" and its connection "qmemimmu_err_qmem" should be similar (OC)
700
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(850): Warning 21025: port "qmemimmu_tag_o" and its connection "qmemimmu_tag_qmem" should be similar (OC)
701
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(853): Warning 21025: port "icqmem_adr_o" and its connection "icqmem_adr_qmem" should be similar (OC)
702
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(854): Warning 21025: port "icqmem_cycstb_o" and its connection "icqmem_cycstb_qmem" should be similar (OC)
703
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(855): Warning 21025: port "icqmem_ci_o" and its connection "icqmem_ci_qmem" should be similar (OC)
704
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(856): Warning 21025: port "icqmem_sel_o" and its connection "icqmem_sel_qmem" should be similar (OC)
705
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(857): Warning 21025: port "icqmem_tag_o" and its connection "icqmem_tag_qmem" should be similar (OC)
706
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(865): Warning 21025: port "qmemdmmu_adr_i" and its connection "qmemdmmu_adr_dmmu" should be similar (OC)
707
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(866): Warning 21025: port "qmemdmmu_cycstb_i" and its connection "qmemdmmu_cycstb_dmmu" should be similar (OC)
708
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(867): Warning 21025: port "qmemdmmu_ci_i" and its connection "qmemdmmu_ci_dmmu" should be similar (OC)
709
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(868): Warning 21025: port "qmemdcpu_we_i" and its connection "dcpu_we_cpu" should be similar (OC)
710
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(869): Warning 21025: port "qmemdcpu_sel_i" and its connection "dcpu_sel_cpu" should be similar (OC)
711
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(870): Warning 21025: port "qmemdcpu_tag_i" and its connection "dcpu_tag_cpu" should be similar (OC)
712
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(871): Warning 21025: port "qmemdcpu_dat_i" and its connection "dcpu_dat_cpu" should be similar (OC)
713
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(872): Warning 21025: port "qmemdcpu_dat_o" and its connection "dcpu_dat_qmem" should be similar (OC)
714
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(873): Warning 21025: port "qmemdcpu_ack_o" and its connection "dcpu_ack_qmem" should be similar (OC)
715
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(874): Warning 21025: port "qmemdcpu_rty_o" and its connection "dcpu_rty_qmem" should be similar (OC)
716
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(875): Warning 21025: port "qmemdmmu_err_o" and its connection "qmemdmmu_err_qmem" should be similar (OC)
717
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(876): Warning 21025: port "qmemdmmu_tag_o" and its connection "qmemdmmu_tag_qmem" should be similar (OC)
718
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(879): Warning 21025: port "dcqmem_adr_o" and its connection "dcqmem_adr_qmem" should be similar (OC)
719
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(880): Warning 21025: port "dcqmem_cycstb_o" and its connection "dcqmem_cycstb_qmem" should be similar (OC)
720
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(881): Warning 21025: port "dcqmem_ci_o" and its connection "dcqmem_ci_qmem" should be similar (OC)
721
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(882): Warning 21025: port "dcqmem_we_o" and its connection "dcqmem_we_qmem" should be similar (OC)
722
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(883): Warning 21025: port "dcqmem_sel_o" and its connection "dcqmem_sel_qmem" should be similar (OC)
723
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(884): Warning 21025: port "dcqmem_tag_o" and its connection "dcqmem_tag_qmem" should be similar (OC)
724
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(885): Warning 21025: port "dcqmem_dat_o" and its connection "dcqmem_dat_qmem" should be similar (OC)
725
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(886): Warning 21025: port "dcqmem_dat_i" and its connection "dcqmem_dat_dc" should be similar (OC)
726
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(887): Warning 21025: port "dcqmem_ack_i" and its connection "dcqmem_ack_dc" should be similar (OC)
727
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(888): Warning 21025: port "dcqmem_rty_i" and its connection "dcqmem_rty_dc" should be similar (OC)
728
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(889): Warning 21025: port "dcqmem_err_i" and its connection "dcqmem_err_dc" should be similar (OC)
729
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(890): Warning 21025: port "dcqmem_tag_i" and its connection "dcqmem_tag_dc" should be similar (OC)
730
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(902): Warning 21025: port "dcsb_dat_i" and its connection "dcsb_dat_dc" should be similar (OC)
731
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(903): Warning 21025: port "dcsb_adr_i" and its connection "dcsb_adr_dc" should be similar (OC)
732
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(904): Warning 21025: port "dcsb_cyc_i" and its connection "dcsb_cyc_dc" should be similar (OC)
733
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(905): Warning 21025: port "dcsb_stb_i" and its connection "dcsb_stb_dc" should be similar (OC)
734
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(906): Warning 21025: port "dcsb_we_i" and its connection "dcsb_we_dc" should be similar (OC)
735
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(907): Warning 21025: port "dcsb_sel_i" and its connection "dcsb_sel_dc" should be similar (OC)
736
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(908): Warning 21025: port "dcsb_cab_i" and its connection "dcsb_cab_dc" should be similar (OC)
737
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(909): Warning 21025: port "dcsb_dat_o" and its connection "dcsb_dat_sb" should be similar (OC)
738
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(910): Warning 21025: port "dcsb_ack_o" and its connection "dcsb_ack_sb" should be similar (OC)
739
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(911): Warning 21025: port "dcsb_err_o" and its connection "dcsb_err_sb" should be similar (OC)
740
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(914): Warning 21025: port "sbbiu_dat_o" and its connection "sbbiu_dat_sb" should be similar (OC)
741
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(915): Warning 21025: port "sbbiu_adr_o" and its connection "sbbiu_adr_sb" should be similar (OC)
742
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(916): Warning 21025: port "sbbiu_cyc_o" and its connection "sbbiu_cyc_sb" should be similar (OC)
743
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(917): Warning 21025: port "sbbiu_stb_o" and its connection "sbbiu_stb_sb" should be similar (OC)
744
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(918): Warning 21025: port "sbbiu_we_o" and its connection "sbbiu_we_sb" should be similar (OC)
745
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(919): Warning 21025: port "sbbiu_sel_o" and its connection "sbbiu_sel_sb" should be similar (OC)
746
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(920): Warning 21025: port "sbbiu_cab_o" and its connection "sbbiu_cab_sb" should be similar (OC)
747
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(921): Warning 21025: port "sbbiu_dat_i" and its connection "sbbiu_dat_biu" should be similar (OC)
748
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(922): Warning 21025: port "sbbiu_ack_i" and its connection "sbbiu_ack_biu" should be similar (OC)
749
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(923): Warning 21025: port "sbbiu_err_i" and its connection "sbbiu_err_biu" should be similar (OC)
750
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(933): Warning 21025: port "dcpu_cycstb_i" and its connection "dcpu_cycstb_cpu" should be similar (OC)
751
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(934): Warning 21025: port "dcpu_we_i" and its connection "dcpu_we_cpu" should be similar (OC)
752
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(935): Warning 21025: port "dcpu_adr_i" and its connection "dcpu_adr_cpu" should be similar (OC)
753
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(936): Warning 21025: port "dcpu_dat_lsu" and its connection "dcpu_dat_cpu" should be similar (OC)
754
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(937): Warning 21025: port "dcpu_dat_dc" and its connection "dcpu_dat_qmem" should be similar (OC)
755
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(938): Warning 21025: port "icpu_cycstb_i" and its connection "icpu_cycstb_cpu" should be similar (OC)
756
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(952): Warning 21025: port "du_dat_i" and its connection "du_dat_cpu" should be similar (OC)
757
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(953): Warning 21025: port "du_dat_o" and its connection "du_dat_du" should be similar (OC)
758
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(961): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
759
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(963): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
760
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(964): Warning 21025: port "spr_dat_o" and its connection "spr_dat_du" should be similar (OC)
761
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(989): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
762
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(991): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
763
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(992): Warning 21025: port "spr_dat_o" and its connection "spr_dat_pic" should be similar (OC)
764
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1009): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
765
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1011): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
766
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1012): Warning 21025: port "spr_dat_o" and its connection "spr_dat_tt" should be similar (OC)
767
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1013): Warning 21025: port "int" and its connection "sig_tick" should be similar (OC)
768
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1024): Warning 21025: port "spr_write" and its connection "spr_we" should be similar (OC)
769
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1026): Warning 21025: port "spr_dat_i" and its connection "spr_dat_cpu" should be similar (OC)
770
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1027): Warning 21025: port "spr_dat_o" and its connection "spr_dat_pm" should be similar (OC)
771
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(292): Warning 21035: SUFFIX "_r" should be added to register input signal "ex_macrc_op" (OC)
772
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(296): Warning 21035: SUFFIX "_r" should be added to register input signal "id_macrc_op" (OC)
773
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(307): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_addrimm" (OC)
774
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(317): Warning 21035: SUFFIX "_r" should be added to register input signal "id_insn" (OC)
775
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(434): Warning 21035: SUFFIX "_r" should be added to register input signal "rf_addrw" (OC)
776
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(451): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_rfaddrw" (OC)
777
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(465): Warning 21035: SUFFIX "_r" should be added to register input signal "if_insn" (OC)
778
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(479): Warning 21035: SUFFIX "_r" should be added to register input signal "ex_insn" (OC)
779
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(497): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_insn" (OC)
780
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(510): Warning 21035: SUFFIX "_r" should be added to register input signal "sel_imm" (OC)
781
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(589): Warning 21035: SUFFIX "_r" should be added to register input signal "except_illegal" (OC)
782
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(652): Warning 21035: SUFFIX "_r" should be added to register input signal "alu_op" (OC)
783
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(782): Warning 21035: SUFFIX "_r" should be added to register input signal "shrot_op" (OC)
784
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(795): Warning 21035: SUFFIX "_r" should be added to register input signal "rfwb_op" (OC)
785
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(891): Warning 21035: SUFFIX "_r" should be added to register input signal "pre_branch_op" (OC)
786
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(938): Warning 21035: SUFFIX "_r" should be added to register input signal "branch_op" (OC)
787
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(949): Warning 21035: SUFFIX "_r" should be added to register input signal "lsu_op" (OC)
788
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(1000): Warning 21035: SUFFIX "_r" should be added to register input signal "comp_op" (OC)
789
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(1012): Warning 21035: SUFFIX "_r" should be added to register input signal "sig_syscall" (OC)
790
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(1031): Warning 21035: SUFFIX "_r" should be added to register input signal "sig_trap" (OC)
791
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(202): Warning 21035: SUFFIX "_r" should be added to register input signal "state" (OC)
792
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(204): Warning 21035: SUFFIX "_r" should be added to register input signal "hitmiss_eval" (OC)
793
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(205): Warning 21035: SUFFIX "_r" should be added to register input signal "store" (OC)
794
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(206): Warning 21035: SUFFIX "_r" should be added to register input signal "load" (OC)
795
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(207): Warning 21035: SUFFIX "_r" should be added to register input signal "cnt" (OC)
796
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(208): Warning 21035: SUFFIX "_r" should be added to register input signal "cache_inhibit" (OC)
797
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(278): Warning 21035: SUFFIX "_r" should be added to register input signal "start_addr" (OC)
798
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(260): Warning 21035: SUFFIX "_r" should be added to register input signal "dcpu_cycstb_i" (OC)
799
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(283): Warning 21035: SUFFIX "_r" should be added to register input signal "dcpu_adr_i" (OC)
800
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(205): Warning 21035: SUFFIX "_r" should be added to register input signal "dbg_is_o" (OC)
801
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(236): Warning 21035: SUFFIX "_r" should be added to register input signal "dbg_stb_i" (OC)
802
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(653): Warning 21035: SUFFIX "_r" should be added to register input signal "dmr1" (OC)
803
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(658): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
804
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(683): Warning 21035: SUFFIX "_r" should be added to register input signal "dsr" (OC)
805
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1684): Warning 21035: SUFFIX "_r" should be added to register input signal "tb_wadr" (OC)
806
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1693): Warning 21035: SUFFIX "_r" should be added to register input signal "tb_timstmp" (OC)
807
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(299): Warning 21035: SUFFIX "_r" should be added to register input signal "id_pc" (OC)
808
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(300): Warning 21035: SUFFIX "_r" should be added to register input signal "id_exceptflags" (OC)
809
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(307): Warning 21035: SUFFIX "_r" should be added to register input signal "if_pc" (OC)
810
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(308): Warning 21035: SUFFIX "_r" should be added to register input signal "sig_ibuserr" (OC)
811
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(308): Warning 21035: SUFFIX "_r" should be added to register input signal "sig_itlbmiss" (OC)
812
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(308): Warning 21035: SUFFIX "_r" should be added to register input signal "sig_immufault" (OC)
813
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(326): Warning 21035: SUFFIX "_r" should be added to register input signal "delayed_iee" (OC)
814
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(342): Warning 21035: SUFFIX "_r" should be added to register input signal "delayed_tee" (OC)
815
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(349): Warning 21035: SUFFIX "_r" should be added to register input signal "ex_dslot" (OC)
816
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(350): Warning 21035: SUFFIX "_r" should be added to register input signal "ex_pc" (OC)
817
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(351): Warning 21035: SUFFIX "_r" should be added to register input signal "ex_exceptflags" (OC)
818
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(352): Warning 21035: SUFFIX "_r" should be added to register input signal "delayed1_ex_dslot" (OC)
819
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(353): Warning 21035: SUFFIX "_r" should be added to register input signal "delayed2_ex_dslot" (OC)
820
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(370): Warning 21035: SUFFIX "_r" should be added to register input signal "branch_taken" (OC)
821
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(383): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_pc" (OC)
822
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(410): Warning 21035: SUFFIX "_r" should be added to register input signal "state" (OC)
823
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(411): Warning 21035: SUFFIX "_r" should be added to register input signal "except_type" (OC)
824
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(412): Warning 21035: SUFFIX "_r" should be added to register input signal "extend_flush" (OC)
825
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(413): Warning 21035: SUFFIX "_r" should be added to register input signal "epcr" (OC)
826
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(414): Warning 21035: SUFFIX "_r" should be added to register input signal "eear" (OC)
827
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(415): Warning 21035: SUFFIX "_r" should be added to register input signal "esr" (OC)
828
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(416): Warning 21035: SUFFIX "_r" should be added to register input signal "extend_flush_last" (OC)
829
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(428): Warning 21035: SUFFIX "_r" should be added to register input signal "to_sr" (OC)
830
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(428): Warning 21035: SUFFIX "_r" should be added to register input signal "sr" (OC)
831
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(504): Warning 21035: SUFFIX "_r" should be added to register input signal "lsu_addr" (OC)
832
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(535): Warning 21035: SUFFIX "_r" should be added to register input signal "datain" (OC)
833
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(183): Warning 21035: SUFFIX "_r" should be added to register input signal "flushpipe" (OC)
834
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(197): Warning 21035: SUFFIX "_r" should be added to register input signal "multicycle_cnt" (OC)
835
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(201): Warning 21035: SUFFIX "_r" should be added to register input signal "multicycle" (OC)
836
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(309): Warning 21035: SUFFIX "_r" should be added to register input signal "pcreg" (OC)
837
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(311): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
838
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(314): Warning 21035: SUFFIX "_r" should be added to register input signal "pc" (OC)
839
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(128): Warning 21035: SUFFIX "_r" should be added to register input signal "p0" (OC)
840
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(191): Warning 21035: SUFFIX "_r" should be added to register input signal "state" (OC)
841
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(193): Warning 21035: SUFFIX "_r" should be added to register input signal "hitmiss_eval" (OC)
842
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(194): Warning 21035: SUFFIX "_r" should be added to register input signal "load" (OC)
843
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(195): Warning 21035: SUFFIX "_r" should be added to register input signal "cnt" (OC)
844
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(196): Warning 21035: SUFFIX "_r" should be added to register input signal "cache_inhibit" (OC)
845
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(254): Warning 21035: SUFFIX "_r" should be added to register input signal "start_addr" (OC)
846
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(158): Warning 21035: SUFFIX "_r" should be added to register input signal "saved" (OC)
847
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(171): Warning 21035: SUFFIX "_r" should be added to register input signal "insn_saved" (OC)
848
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(175): Warning 21035: SUFFIX "_r" should be added to register input signal "icpu_dat_i" (OC)
849
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(184): Warning 21035: SUFFIX "_r" should be added to register input signal "addr_saved" (OC)
850
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(190): Warning 21035: SUFFIX "_r" should be added to register input signal "icpu_adr_i" (OC)
851
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(239): Warning 21035: SUFFIX "_r" should be added to register input signal "icpu_adr_i" (OC)
852
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(302): Warning 21035: SUFFIX "_r" should be added to register input signal "dis_spr_access" (OC)
853
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(228): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_adr_o" (OC)
854
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(230): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_adr_i" (OC)
855
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(244): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_dat_i" (OC)
856
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(259): Warning 21035: SUFFIX "_r" should be added to register input signal "previous_complete" (OC)
857
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(284): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_dat_o" (OC)
858
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(286): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_dat_i" (OC)
859
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(460): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_sel_i" (OC)
860
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(474): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_cab_i" (OC)
861
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(231): Warning 21035: SUFFIX "_r" should be added to register input signal "div_free" (OC)
862
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(251): Warning 21035: SUFFIX "_r" should be added to register input signal "mul_prod" (OC)
863
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(270): Warning 21035: SUFFIX "_r" should be added to register input signal "mac_op" (OC)
864
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(279): Warning 21035: SUFFIX "_r" should be added to register input signal "mac_op_r1" (OC)
865
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(288): Warning 21035: SUFFIX "_r" should be added to register input signal "mac_op_r2" (OC)
866
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(298): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
867
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(122): Warning 21035: SUFFIX "_r" should be added to register input signal "operand_a" (OC)
868
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(123): Warning 21035: SUFFIX "_r" should be added to register input signal "saved_a" (OC)
869
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(128): Warning 21035: SUFFIX "_r" should be added to register input signal "muxed_a" (OC)
870
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(138): Warning 21035: SUFFIX "_r" should be added to register input signal "operand_b" (OC)
871
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(139): Warning 21035: SUFFIX "_r" should be added to register input signal "saved_b" (OC)
872
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(144): Warning 21035: SUFFIX "_r" should be added to register input signal "muxed_b" (OC)
873
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(146): Warning 21035: SUFFIX "_r" should be added to register input signal "picmr" (OC)
874
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(148): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
875
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(137): Warning 21035: SUFFIX "_r" should be added to register input signal "dme" (OC)
876
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(137): Warning 21035: SUFFIX "_r" should be added to register input signal "sdf" (OC)
877
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(137): Warning 21035: SUFFIX "_r" should be added to register input signal "dcge" (OC)
878
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(137): Warning 21035: SUFFIX "_r" should be added to register input signal "sme" (OC)
879
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(142): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
880
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(308): Warning 21035: SUFFIX "_r" should be added to register input signal "state" (OC)
881
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(309): Warning 21035: SUFFIX "_r" should be added to register input signal "qmem_dack" (OC)
882
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(310): Warning 21035: SUFFIX "_r" should be added to register input signal "qmem_iack" (OC)
883
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(207): Warning 21035: SUFFIX "_r" should be added to register input signal "rf_we_allow" (OC)
884
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(209): Warning 21035: SUFFIX "_r" should be added to register input signal "flushpipe" (OC)
885
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(234): Warning 21035: SUFFIX "_r" should be added to register input signal "dataa_saved" (OC)
886
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(237): Warning 21035: SUFFIX "_r" should be added to register input signal "from_rfa" (OC)
887
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(247): Warning 21035: SUFFIX "_r" should be added to register input signal "datab_saved" (OC)
888
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(250): Warning 21035: SUFFIX "_r" should be added to register input signal "from_rfb" (OC)
889
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(122): Warning 21035: SUFFIX "_r" should be added to register input signal "mem" (OC)
890
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(126): Warning 21035: SUFFIX "_r" should be added to register input signal "di_w" (OC)
891
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(165): Warning 21035: SUFFIX "_r" should be added to register input signal "intaddr_a" (OC)
892
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(168): Warning 21035: SUFFIX "_r" should be added to register input signal "addr_a" (OC)
893
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(211): Warning 21035: SUFFIX "_r" should be added to register input signal "intaddr_b" (OC)
894
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(214): Warning 21035: SUFFIX "_r" should be added to register input signal "addr_b" (OC)
895
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(96): Warning 21035: SUFFIX "_r" should be added to register input signal "full_o" (OC)
896
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(97): Warning 21035: SUFFIX "_r" should be added to register input signal "empty_o" (OC)
897
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(98): Warning 21035: SUFFIX "_r" should be added to register input signal "wr_pntr" (OC)
898
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(99): Warning 21035: SUFFIX "_r" should be added to register input signal "rd_pntr" (OC)
899
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(100): Warning 21035: SUFFIX "_r" should be added to register input signal "cntr" (OC)
900
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(370): Warning 21035: SUFFIX "_r" should be added to register input signal "sr" (OC)
901
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(379): Warning 21035: SUFFIX "_r" should be added to register input signal "to_sr" (OC)
902
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(150): Warning 21035: SUFFIX "_r" should be added to register input signal "ttmr" (OC)
903
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(152): Warning 21035: SUFFIX "_r" should be added to register input signal "spr_dat_i" (OC)
904
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(165): Warning 21035: SUFFIX "_r" should be added to register input signal "ttcr" (OC)
905
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(220): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_adr_o" (OC)
906
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(222): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_adr_i" (OC)
907
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(246): Warning 21035: SUFFIX "_r" should be added to register input signal "wb_dat_o" (OC)
908
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(248): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_dat_i" (OC)
909
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(422): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_sel_i" (OC)
910
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(436): Warning 21035: SUFFIX "_r" should be added to register input signal "biu_cab_i" (OC)
911
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(122): Warning 21035: SUFFIX "_r" should be added to register input signal "muxreg" (OC)
912
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(123): Warning 21035: SUFFIX "_r" should be added to register input signal "muxreg_valid" (OC)
913
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(126): Warning 21035: SUFFIX "_r" should be added to register input signal "muxout" (OC)
914
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(127): Warning 21035: SUFFIX "_r" should be added to register input signal "rfwb_op" (OC)
915
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(122): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
916
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(181): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
917
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(182): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
918
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(98): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
919
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(99): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
920
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(94): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
921
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(95): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
922
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(128): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
923
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(101): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
924
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(102): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
925
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(126): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
926
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(127): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
927
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(132): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
928
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(133): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
929
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(137): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
930
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(138): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
931
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(100): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
932
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(101): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
933
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(100): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
934
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(101): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
935
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(131): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
936
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(107): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
937
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(108): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
938
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(141): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
939
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(142): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
940
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(132): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
941
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(133): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
942
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(98): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
943
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(99): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
944
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(89): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
945
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(84): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
946
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(87): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
947
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(134): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
948
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(80): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
949
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(107): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
950
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(108): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
951
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(73): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
952
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(74): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
953
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(73): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
954
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(74): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
955
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(67): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
956
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(68): Warning 21041: parameter "fw" should be named in CASE_UPPER case (OC)
957
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(69): Warning 21041: parameter "fl" should be named in CASE_UPPER case (OC)
958
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(121): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
959
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(122): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
960
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(118): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
961
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(119): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
962
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(85): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
963
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(86): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
964
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(121): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
965
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(122): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
966
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(118): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
967
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(119): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
968
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(127): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
969
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(128): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
970
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(89): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
971
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(90): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
972
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(124): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
973
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(125): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
974
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(118): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
975
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(119): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
976
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(118): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
977
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(119): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
978
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(121): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
979
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(122): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
980
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(135): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
981
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(182): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
982
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(183): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
983
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(184): Warning 21041: parameter "ppic_ints" should be named in CASE_UPPER case (OC)
984
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(108): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
985
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(109): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
986
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(129): Warning 21041: parameter "dw" should be named in CASE_UPPER case (OC)
987
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(130): Warning 21041: parameter "aw" should be named in CASE_UPPER case (OC)
988
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(85): Warning 21041: parameter "width" should be named in CASE_UPPER case (OC)
989
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1): Warning 21045: the length of file name "or1200_amultp2_32x32.v" should not exceed 16.3 characters (OC)
990
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(1): Warning 21045: the length of file name "or1200_dpram_32x32.v" should not exceed 16.3 characters (OC)
991
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(1): Warning 21045: the length of file name "or1200_gmultp2_32x32.v" should not exceed 16.3 characters (OC)
992
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(1): Warning 21045: the length of file name "or1200_operandmuxes.v" should not exceed 16.3 characters (OC)
993
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(1): Warning 21045: the length of file name "or1200_rfram_generic.v" should not exceed 16.3 characters (OC)
994
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(1): Warning 21045: the length of file name "or1200_spram_1024x32.v" should not exceed 16.3 characters (OC)
995
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(1): Warning 21045: the length of file name "or1200_spram_1024x32_bw.v" should not exceed 16.3 characters (OC)
996
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(1): Warning 21045: the length of file name "or1200_spram_1024x8.v" should not exceed 16.3 characters (OC)
997
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(1): Warning 21045: the length of file name "or1200_spram_128x32.v" should not exceed 16.3 characters (OC)
998
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(1): Warning 21045: the length of file name "or1200_spram_2048x32.v" should not exceed 16.3 characters (OC)
999
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(1): Warning 21045: the length of file name "or1200_spram_2048x32_bw.v" should not exceed 16.3 characters (OC)
1000
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(1): Warning 21045: the length of file name "or1200_spram_2048x8.v" should not exceed 16.3 characters (OC)
1001
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(1): Warning 21045: the length of file name "or1200_spram_256x21.v" should not exceed 16.3 characters (OC)
1002
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(1): Warning 21045: the length of file name "or1200_spram_32x24.v" should not exceed 16.3 characters (OC)
1003
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(1): Warning 21045: the length of file name "or1200_spram_512x20.v" should not exceed 16.3 characters (OC)
1004
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(1): Warning 21045: the length of file name "or1200_spram_64x14.v" should not exceed 16.3 characters (OC)
1005
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(1): Warning 21045: the length of file name "or1200_spram_64x22.v" should not exceed 16.3 characters (OC)
1006
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(1): Warning 21045: the length of file name "or1200_spram_64x24.v" should not exceed 16.3 characters (OC)
1007
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(1): Warning 21045: the length of file name "or1200_tpram_32x32.v" should not exceed 16.3 characters (OC)
1008
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_xcv_ram32x8d.v(1): Warning 21045: the length of file name "or1200_xcv_ram32x8d.v" should not exceed 16.3 characters (OC)
1009
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(405): Warning 21055: instance name "or1200_genpc" should not be the same as the module name (OC)
1010
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(436): Warning 21055: instance name "or1200_if" should not be the same as the module name (OC)
1011
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(461): Warning 21055: instance name "or1200_ctrl" should not be the same as the module name (OC)
1012
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(509): Warning 21055: instance name "or1200_rf" should not be the same as the module name (OC)
1013
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(535): Warning 21055: instance name "or1200_operandmuxes" should not be the same as the module name (OC)
1014
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(555): Warning 21055: instance name "or1200_alu" should not be the same as the module name (OC)
1015
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(576): Warning 21055: instance name "or1200_mult_mac" should not be the same as the module name (OC)
1016
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(598): Warning 21055: instance name "or1200_sprs" should not be the same as the module name (OC)
1017
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(653): Warning 21055: instance name "or1200_lsu" should not be the same as the module name (OC)
1018
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(683): Warning 21055: instance name "or1200_wbmux" should not be the same as the module name (OC)
1019
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(700): Warning 21055: instance name "or1200_freeze" should not be the same as the module name (OC)
1020
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(725): Warning 21055: instance name "or1200_except" should not be the same as the module name (OC)
1021
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(784): Warning 21055: instance name "or1200_cfgr" should not be the same as the module name (OC)
1022
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(282): Warning 21055: instance name "or1200_dc_fsm" should not be the same as the module name (OC)
1023
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(309): Warning 21055: instance name "or1200_dc_ram" should not be the same as the module name (OC)
1024
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(328): Warning 21055: instance name "or1200_dc_tag" should not be the same as the module name (OC)
1025
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(319): Warning 21055: instance name "or1200_dmmu_tlb" should not be the same as the module name (OC)
1026
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(282): Warning 21055: instance name "or1200_ic_fsm" should not be the same as the module name (OC)
1027
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(305): Warning 21055: instance name "or1200_ic_ram" should not be the same as the module name (OC)
1028
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(324): Warning 21055: instance name "or1200_ic_tag" should not be the same as the module name (OC)
1029
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(391): Warning 21055: instance name "or1200_immu_tlb" should not be the same as the module name (OC)
1030
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(181): Warning 21055: instance name "or1200_mem2reg" should not be the same as the module name (OC)
1031
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(191): Warning 21055: instance name "or1200_reg2mem" should not be the same as the module name (OC)
1032
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(215): Warning 21055: instance name "or1200_gmultp2_32x32" should not be the same as the module name (OC)
1033
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(579): Warning 21055: instance name "or1200_immu_top" should not be the same as the module name (OC)
1034
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(621): Warning 21055: instance name "or1200_ic_top" should not be the same as the module name (OC)
1035
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(666): Warning 21055: instance name "or1200_cpu" should not be the same as the module name (OC)
1036
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(742): Warning 21055: instance name "or1200_dmmu_top" should not be the same as the module name (OC)
1037
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(782): Warning 21055: instance name "or1200_dc_top" should not be the same as the module name (OC)
1038
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(829): Warning 21055: instance name "or1200_qmem_top" should not be the same as the module name (OC)
1039
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(896): Warning 21055: instance name "or1200_sb" should not be the same as the module name (OC)
1040
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(929): Warning 21055: instance name "or1200_du" should not be the same as the module name (OC)
1041
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(984): Warning 21055: instance name "or1200_pic" should not be the same as the module name (OC)
1042
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1003): Warning 21055: instance name "or1200_tt" should not be the same as the module name (OC)
1043
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1019): Warning 21055: instance name "or1200_pm" should not be the same as the module name (OC)
1044
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(197): Error 22003: width of LHS "multicycle_cnt"(2) does not match that of RHS "3'b0"(3) in assignment (OC)
1045
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(309): Error 22003: width of LHS "pcreg"(30) does not match that of RHS "(({(exc..."(32) in assignment (OC)
1046
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(273): Error 22004: width of operand "a"(32) does not match that of operand "(1 << cust5_limm)"(1) in bitwise operation (OC)
1047
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(275): Error 22004: width of operand "32'hffffffff"(32) does not match that of operand "(1 << cust5_limm)"(1) in bitwise operation (OC)
1048
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(200): Error 22004: width of operand "multicycle"(2) does not match that of operand "! ex_freeze"(1) in bitwise operation (OC)
1049
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(841): Warning 22011: combinational loop detected on signal "qmemimmu_adr_immu" (OC)
1050
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(841): Warning 22011: combinational loop detected on signal "qmemimmu_adr_immu[1:0]" (OC)
1051
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(461): Warning 22012: port sizes differ in port connection, "cust5_limm"(6) vs "cust5_limm"(7) (OC)
1052
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(256): Warning 22014: synchronous loop without set/reset detected on signal "pc[31:2]" (OC)
1053
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(309): Warning 22014: synchronous loop without set/reset detected on signal "pcreg" (OC)
1054
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(360): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(({32{a[31]}} << (6'd32 - {1'b0, b[4:0]})) | (a >> b[4:0]))" (OC)
1055
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(173): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(((biu_read | biu_write) & ! hitmiss_eval) ? saved_addr : start_addr)" (OC)
1056
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(267): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((dcfsm_first_miss_ack | ! dc_en) ? dcsb_dat_i : from_dcram)" (OC)
1057
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(267): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((! dc_en & dmmu_en) ? ((~ (miss | fault) & dtlb_done) & dcpu_cycstb_i) : (~ (miss | fault) & dcpu_cycstb_i))" (OC)
1058
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(174): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((((! no_more_dslot & ! except_start) & ! spr_pc_we) & (icpu_rty_i | genpc_refetch)) ? icpu_adr_i : pc)" (OC)
1059
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(267): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((icfsm_first_miss_ack | ! ic_en) ? icbiu_dat_i : from_icram)" (OC)
1060
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(144): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(((icpu_err_i | no_more_dslot) | rfe) ? {6'b000101, 26'h0410000} : (saved ? insn_saved : (icpu_ack_i ? icpu_dat_i : {6'b000101, 26'h0610000})))" (OC)
1061
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(347): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(immu_en ? (((~ (miss | fault) & icpu_cycstb_i) & ~ page_cross) & itlb_done) : (icpu_cycstb_i & ~ page_cross))" (OC)
1062
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(156): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(((du_stall | lsu_unstall) | except_align) ? 1'b0 : (| lsu_op))" (OC)
1063
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(171): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((alu_op_div & a[31]) ? (~ a + 1'b1) : a)" (OC)
1064
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(172): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "((alu_op_div & b[31]) ? (~ b + 1'b1) : b)" (OC)
1065
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(232): Warning 22021: the operator precedence in the expression is suspicious; it is interpreted as "(du_access ? du_addr : (addrbase | {16'h0000, addrofs}))" (OC)
1066
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(63): Warning 22025: line length should not exceed 120 characters (OC)
1067
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(66): Warning 22025: line length should not exceed 120 characters (OC)
1068
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(78): Warning 22025: line length should not exceed 120 characters (OC)
1069
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(90): Warning 22025: line length should not exceed 120 characters (OC)
1070
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(48): Warning 22025: line length should not exceed 120 characters (OC)
1071
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(57): Warning 22025: line length should not exceed 120 characters (OC)
1072
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(150): Warning 22025: line length should not exceed 120 characters (OC)
1073
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(152): Warning 22025: line length should not exceed 120 characters (OC)
1074
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(155): Warning 22025: line length should not exceed 120 characters (OC)
1075
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(157): Warning 22025: line length should not exceed 120 characters (OC)
1076
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(159): Warning 22025: line length should not exceed 120 characters (OC)
1077
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(161): Warning 22025: line length should not exceed 120 characters (OC)
1078
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(163): Warning 22025: line length should not exceed 120 characters (OC)
1079
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(165): Warning 22025: line length should not exceed 120 characters (OC)
1080
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(167): Warning 22025: line length should not exceed 120 characters (OC)
1081
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(169): Warning 22025: line length should not exceed 120 characters (OC)
1082
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(171): Warning 22025: line length should not exceed 120 characters (OC)
1083
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(173): Warning 22025: line length should not exceed 120 characters (OC)
1084
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(175): Warning 22025: line length should not exceed 120 characters (OC)
1085
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(177): Warning 22025: line length should not exceed 120 characters (OC)
1086
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(179): Warning 22025: line length should not exceed 120 characters (OC)
1087
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(181): Warning 22025: line length should not exceed 120 characters (OC)
1088
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(183): Warning 22025: line length should not exceed 120 characters (OC)
1089
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(185): Warning 22025: line length should not exceed 120 characters (OC)
1090
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(187): Warning 22025: line length should not exceed 120 characters (OC)
1091
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(189): Warning 22025: line length should not exceed 120 characters (OC)
1092
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(191): Warning 22025: line length should not exceed 120 characters (OC)
1093
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(193): Warning 22025: line length should not exceed 120 characters (OC)
1094
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(195): Warning 22025: line length should not exceed 120 characters (OC)
1095
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(197): Warning 22025: line length should not exceed 120 characters (OC)
1096
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(199): Warning 22025: line length should not exceed 120 characters (OC)
1097
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(201): Warning 22025: line length should not exceed 120 characters (OC)
1098
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(203): Warning 22025: line length should not exceed 120 characters (OC)
1099
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(205): Warning 22025: line length should not exceed 120 characters (OC)
1100
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(207): Warning 22025: line length should not exceed 120 characters (OC)
1101
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(209): Warning 22025: line length should not exceed 120 characters (OC)
1102
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(211): Warning 22025: line length should not exceed 120 characters (OC)
1103
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(213): Warning 22025: line length should not exceed 120 characters (OC)
1104
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(215): Warning 22025: line length should not exceed 120 characters (OC)
1105
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(217): Warning 22025: line length should not exceed 120 characters (OC)
1106
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(218): Warning 22025: line length should not exceed 120 characters (OC)
1107
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(220): Warning 22025: line length should not exceed 120 characters (OC)
1108
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(221): Warning 22025: line length should not exceed 120 characters (OC)
1109
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(223): Warning 22025: line length should not exceed 120 characters (OC)
1110
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(224): Warning 22025: line length should not exceed 120 characters (OC)
1111
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(225): Warning 22025: line length should not exceed 120 characters (OC)
1112
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(226): Warning 22025: line length should not exceed 120 characters (OC)
1113
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(227): Warning 22025: line length should not exceed 120 characters (OC)
1114
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(228): Warning 22025: line length should not exceed 120 characters (OC)
1115
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(229): Warning 22025: line length should not exceed 120 characters (OC)
1116
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(230): Warning 22025: line length should not exceed 120 characters (OC)
1117
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(231): Warning 22025: line length should not exceed 120 characters (OC)
1118
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(232): Warning 22025: line length should not exceed 120 characters (OC)
1119
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(233): Warning 22025: line length should not exceed 120 characters (OC)
1120
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(234): Warning 22025: line length should not exceed 120 characters (OC)
1121
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(235): Warning 22025: line length should not exceed 120 characters (OC)
1122
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(236): Warning 22025: line length should not exceed 120 characters (OC)
1123
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(237): Warning 22025: line length should not exceed 120 characters (OC)
1124
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(238): Warning 22025: line length should not exceed 120 characters (OC)
1125
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(239): Warning 22025: line length should not exceed 120 characters (OC)
1126
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(240): Warning 22025: line length should not exceed 120 characters (OC)
1127
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(241): Warning 22025: line length should not exceed 120 characters (OC)
1128
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(242): Warning 22025: line length should not exceed 120 characters (OC)
1129
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(243): Warning 22025: line length should not exceed 120 characters (OC)
1130
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(244): Warning 22025: line length should not exceed 120 characters (OC)
1131
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(245): Warning 22025: line length should not exceed 120 characters (OC)
1132
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(246): Warning 22025: line length should not exceed 120 characters (OC)
1133
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(247): Warning 22025: line length should not exceed 120 characters (OC)
1134
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(248): Warning 22025: line length should not exceed 120 characters (OC)
1135
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(249): Warning 22025: line length should not exceed 120 characters (OC)
1136
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(250): Warning 22025: line length should not exceed 120 characters (OC)
1137
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(251): Warning 22025: line length should not exceed 120 characters (OC)
1138
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(252): Warning 22025: line length should not exceed 120 characters (OC)
1139
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(253): Warning 22025: line length should not exceed 120 characters (OC)
1140
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(254): Warning 22025: line length should not exceed 120 characters (OC)
1141
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(256): Warning 22025: line length should not exceed 120 characters (OC)
1142
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(257): Warning 22025: line length should not exceed 120 characters (OC)
1143
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(258): Warning 22025: line length should not exceed 120 characters (OC)
1144
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(260): Warning 22025: line length should not exceed 120 characters (OC)
1145
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(261): Warning 22025: line length should not exceed 120 characters (OC)
1146
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(262): Warning 22025: line length should not exceed 120 characters (OC)
1147
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(263): Warning 22025: line length should not exceed 120 characters (OC)
1148
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(264): Warning 22025: line length should not exceed 120 characters (OC)
1149
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(265): Warning 22025: line length should not exceed 120 characters (OC)
1150
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(266): Warning 22025: line length should not exceed 120 characters (OC)
1151
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(267): Warning 22025: line length should not exceed 120 characters (OC)
1152
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(268): Warning 22025: line length should not exceed 120 characters (OC)
1153
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(269): Warning 22025: line length should not exceed 120 characters (OC)
1154
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(270): Warning 22025: line length should not exceed 120 characters (OC)
1155
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(271): Warning 22025: line length should not exceed 120 characters (OC)
1156
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(272): Warning 22025: line length should not exceed 120 characters (OC)
1157
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(273): Warning 22025: line length should not exceed 120 characters (OC)
1158
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(274): Warning 22025: line length should not exceed 120 characters (OC)
1159
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(275): Warning 22025: line length should not exceed 120 characters (OC)
1160
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(276): Warning 22025: line length should not exceed 120 characters (OC)
1161
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(277): Warning 22025: line length should not exceed 120 characters (OC)
1162
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(278): Warning 22025: line length should not exceed 120 characters (OC)
1163
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(279): Warning 22025: line length should not exceed 120 characters (OC)
1164
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(280): Warning 22025: line length should not exceed 120 characters (OC)
1165
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(281): Warning 22025: line length should not exceed 120 characters (OC)
1166
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(282): Warning 22025: line length should not exceed 120 characters (OC)
1167
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(283): Warning 22025: line length should not exceed 120 characters (OC)
1168
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(284): Warning 22025: line length should not exceed 120 characters (OC)
1169
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(285): Warning 22025: line length should not exceed 120 characters (OC)
1170
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(286): Warning 22025: line length should not exceed 120 characters (OC)
1171
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(287): Warning 22025: line length should not exceed 120 characters (OC)
1172
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(288): Warning 22025: line length should not exceed 120 characters (OC)
1173
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(289): Warning 22025: line length should not exceed 120 characters (OC)
1174
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(290): Warning 22025: line length should not exceed 120 characters (OC)
1175
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(291): Warning 22025: line length should not exceed 120 characters (OC)
1176
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(293): Warning 22025: line length should not exceed 120 characters (OC)
1177
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(294): Warning 22025: line length should not exceed 120 characters (OC)
1178
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(295): Warning 22025: line length should not exceed 120 characters (OC)
1179
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(297): Warning 22025: line length should not exceed 120 characters (OC)
1180
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(298): Warning 22025: line length should not exceed 120 characters (OC)
1181
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(299): Warning 22025: line length should not exceed 120 characters (OC)
1182
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(300): Warning 22025: line length should not exceed 120 characters (OC)
1183
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(301): Warning 22025: line length should not exceed 120 characters (OC)
1184
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(302): Warning 22025: line length should not exceed 120 characters (OC)
1185
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(303): Warning 22025: line length should not exceed 120 characters (OC)
1186
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(304): Warning 22025: line length should not exceed 120 characters (OC)
1187
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(305): Warning 22025: line length should not exceed 120 characters (OC)
1188
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(306): Warning 22025: line length should not exceed 120 characters (OC)
1189
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(307): Warning 22025: line length should not exceed 120 characters (OC)
1190
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(308): Warning 22025: line length should not exceed 120 characters (OC)
1191
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(309): Warning 22025: line length should not exceed 120 characters (OC)
1192
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(310): Warning 22025: line length should not exceed 120 characters (OC)
1193
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(311): Warning 22025: line length should not exceed 120 characters (OC)
1194
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(312): Warning 22025: line length should not exceed 120 characters (OC)
1195
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(313): Warning 22025: line length should not exceed 120 characters (OC)
1196
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(314): Warning 22025: line length should not exceed 120 characters (OC)
1197
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(315): Warning 22025: line length should not exceed 120 characters (OC)
1198
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(316): Warning 22025: line length should not exceed 120 characters (OC)
1199
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(317): Warning 22025: line length should not exceed 120 characters (OC)
1200
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(318): Warning 22025: line length should not exceed 120 characters (OC)
1201
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(319): Warning 22025: line length should not exceed 120 characters (OC)
1202
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(320): Warning 22025: line length should not exceed 120 characters (OC)
1203
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(321): Warning 22025: line length should not exceed 120 characters (OC)
1204
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(322): Warning 22025: line length should not exceed 120 characters (OC)
1205
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(323): Warning 22025: line length should not exceed 120 characters (OC)
1206
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(324): Warning 22025: line length should not exceed 120 characters (OC)
1207
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(325): Warning 22025: line length should not exceed 120 characters (OC)
1208
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(326): Warning 22025: line length should not exceed 120 characters (OC)
1209
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(327): Warning 22025: line length should not exceed 120 characters (OC)
1210
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(328): Warning 22025: line length should not exceed 120 characters (OC)
1211
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(330): Warning 22025: line length should not exceed 120 characters (OC)
1212
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(331): Warning 22025: line length should not exceed 120 characters (OC)
1213
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(332): Warning 22025: line length should not exceed 120 characters (OC)
1214
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(334): Warning 22025: line length should not exceed 120 characters (OC)
1215
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(335): Warning 22025: line length should not exceed 120 characters (OC)
1216
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(336): Warning 22025: line length should not exceed 120 characters (OC)
1217
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(337): Warning 22025: line length should not exceed 120 characters (OC)
1218
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(338): Warning 22025: line length should not exceed 120 characters (OC)
1219
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(339): Warning 22025: line length should not exceed 120 characters (OC)
1220
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(340): Warning 22025: line length should not exceed 120 characters (OC)
1221
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(341): Warning 22025: line length should not exceed 120 characters (OC)
1222
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(342): Warning 22025: line length should not exceed 120 characters (OC)
1223
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(343): Warning 22025: line length should not exceed 120 characters (OC)
1224
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(344): Warning 22025: line length should not exceed 120 characters (OC)
1225
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(345): Warning 22025: line length should not exceed 120 characters (OC)
1226
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(346): Warning 22025: line length should not exceed 120 characters (OC)
1227
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(347): Warning 22025: line length should not exceed 120 characters (OC)
1228
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(348): Warning 22025: line length should not exceed 120 characters (OC)
1229
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(349): Warning 22025: line length should not exceed 120 characters (OC)
1230
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(350): Warning 22025: line length should not exceed 120 characters (OC)
1231
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(351): Warning 22025: line length should not exceed 120 characters (OC)
1232
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(352): Warning 22025: line length should not exceed 120 characters (OC)
1233
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(353): Warning 22025: line length should not exceed 120 characters (OC)
1234
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(354): Warning 22025: line length should not exceed 120 characters (OC)
1235
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(355): Warning 22025: line length should not exceed 120 characters (OC)
1236
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(356): Warning 22025: line length should not exceed 120 characters (OC)
1237
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(357): Warning 22025: line length should not exceed 120 characters (OC)
1238
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(358): Warning 22025: line length should not exceed 120 characters (OC)
1239
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(359): Warning 22025: line length should not exceed 120 characters (OC)
1240
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(360): Warning 22025: line length should not exceed 120 characters (OC)
1241
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(361): Warning 22025: line length should not exceed 120 characters (OC)
1242
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(362): Warning 22025: line length should not exceed 120 characters (OC)
1243
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(363): Warning 22025: line length should not exceed 120 characters (OC)
1244
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(364): Warning 22025: line length should not exceed 120 characters (OC)
1245
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(365): Warning 22025: line length should not exceed 120 characters (OC)
1246
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(367): Warning 22025: line length should not exceed 120 characters (OC)
1247
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(368): Warning 22025: line length should not exceed 120 characters (OC)
1248
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(369): Warning 22025: line length should not exceed 120 characters (OC)
1249
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(371): Warning 22025: line length should not exceed 120 characters (OC)
1250
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(372): Warning 22025: line length should not exceed 120 characters (OC)
1251
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(373): Warning 22025: line length should not exceed 120 characters (OC)
1252
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(374): Warning 22025: line length should not exceed 120 characters (OC)
1253
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(375): Warning 22025: line length should not exceed 120 characters (OC)
1254
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(376): Warning 22025: line length should not exceed 120 characters (OC)
1255
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(377): Warning 22025: line length should not exceed 120 characters (OC)
1256
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(378): Warning 22025: line length should not exceed 120 characters (OC)
1257
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(379): Warning 22025: line length should not exceed 120 characters (OC)
1258
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(380): Warning 22025: line length should not exceed 120 characters (OC)
1259
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(381): Warning 22025: line length should not exceed 120 characters (OC)
1260
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(382): Warning 22025: line length should not exceed 120 characters (OC)
1261
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(383): Warning 22025: line length should not exceed 120 characters (OC)
1262
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(384): Warning 22025: line length should not exceed 120 characters (OC)
1263
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(385): Warning 22025: line length should not exceed 120 characters (OC)
1264
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(386): Warning 22025: line length should not exceed 120 characters (OC)
1265
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(387): Warning 22025: line length should not exceed 120 characters (OC)
1266
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(388): Warning 22025: line length should not exceed 120 characters (OC)
1267
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(389): Warning 22025: line length should not exceed 120 characters (OC)
1268
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(390): Warning 22025: line length should not exceed 120 characters (OC)
1269
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(391): Warning 22025: line length should not exceed 120 characters (OC)
1270
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(392): Warning 22025: line length should not exceed 120 characters (OC)
1271
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(393): Warning 22025: line length should not exceed 120 characters (OC)
1272
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(394): Warning 22025: line length should not exceed 120 characters (OC)
1273
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(395): Warning 22025: line length should not exceed 120 characters (OC)
1274
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(396): Warning 22025: line length should not exceed 120 characters (OC)
1275
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(397): Warning 22025: line length should not exceed 120 characters (OC)
1276
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(398): Warning 22025: line length should not exceed 120 characters (OC)
1277
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(399): Warning 22025: line length should not exceed 120 characters (OC)
1278
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(400): Warning 22025: line length should not exceed 120 characters (OC)
1279
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(401): Warning 22025: line length should not exceed 120 characters (OC)
1280
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(402): Warning 22025: line length should not exceed 120 characters (OC)
1281
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(404): Warning 22025: line length should not exceed 120 characters (OC)
1282
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(405): Warning 22025: line length should not exceed 120 characters (OC)
1283
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(406): Warning 22025: line length should not exceed 120 characters (OC)
1284
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(408): Warning 22025: line length should not exceed 120 characters (OC)
1285
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(409): Warning 22025: line length should not exceed 120 characters (OC)
1286
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(410): Warning 22025: line length should not exceed 120 characters (OC)
1287
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(411): Warning 22025: line length should not exceed 120 characters (OC)
1288
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(412): Warning 22025: line length should not exceed 120 characters (OC)
1289
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(413): Warning 22025: line length should not exceed 120 characters (OC)
1290
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(414): Warning 22025: line length should not exceed 120 characters (OC)
1291
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(415): Warning 22025: line length should not exceed 120 characters (OC)
1292
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(416): Warning 22025: line length should not exceed 120 characters (OC)
1293
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(417): Warning 22025: line length should not exceed 120 characters (OC)
1294
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(418): Warning 22025: line length should not exceed 120 characters (OC)
1295
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(419): Warning 22025: line length should not exceed 120 characters (OC)
1296
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(420): Warning 22025: line length should not exceed 120 characters (OC)
1297
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(421): Warning 22025: line length should not exceed 120 characters (OC)
1298
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(422): Warning 22025: line length should not exceed 120 characters (OC)
1299
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(423): Warning 22025: line length should not exceed 120 characters (OC)
1300
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(424): Warning 22025: line length should not exceed 120 characters (OC)
1301
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(425): Warning 22025: line length should not exceed 120 characters (OC)
1302
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(426): Warning 22025: line length should not exceed 120 characters (OC)
1303
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(427): Warning 22025: line length should not exceed 120 characters (OC)
1304
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(428): Warning 22025: line length should not exceed 120 characters (OC)
1305
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(429): Warning 22025: line length should not exceed 120 characters (OC)
1306
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(430): Warning 22025: line length should not exceed 120 characters (OC)
1307
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(431): Warning 22025: line length should not exceed 120 characters (OC)
1308
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(432): Warning 22025: line length should not exceed 120 characters (OC)
1309
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(433): Warning 22025: line length should not exceed 120 characters (OC)
1310
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(434): Warning 22025: line length should not exceed 120 characters (OC)
1311
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(435): Warning 22025: line length should not exceed 120 characters (OC)
1312
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(436): Warning 22025: line length should not exceed 120 characters (OC)
1313
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(437): Warning 22025: line length should not exceed 120 characters (OC)
1314
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(438): Warning 22025: line length should not exceed 120 characters (OC)
1315
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(439): Warning 22025: line length should not exceed 120 characters (OC)
1316
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(441): Warning 22025: line length should not exceed 120 characters (OC)
1317
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(442): Warning 22025: line length should not exceed 120 characters (OC)
1318
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(443): Warning 22025: line length should not exceed 120 characters (OC)
1319
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(445): Warning 22025: line length should not exceed 120 characters (OC)
1320
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(446): Warning 22025: line length should not exceed 120 characters (OC)
1321
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(447): Warning 22025: line length should not exceed 120 characters (OC)
1322
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(448): Warning 22025: line length should not exceed 120 characters (OC)
1323
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(449): Warning 22025: line length should not exceed 120 characters (OC)
1324
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(450): Warning 22025: line length should not exceed 120 characters (OC)
1325
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(451): Warning 22025: line length should not exceed 120 characters (OC)
1326
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(452): Warning 22025: line length should not exceed 120 characters (OC)
1327
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(453): Warning 22025: line length should not exceed 120 characters (OC)
1328
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(454): Warning 22025: line length should not exceed 120 characters (OC)
1329
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(455): Warning 22025: line length should not exceed 120 characters (OC)
1330
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(456): Warning 22025: line length should not exceed 120 characters (OC)
1331
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(457): Warning 22025: line length should not exceed 120 characters (OC)
1332
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(458): Warning 22025: line length should not exceed 120 characters (OC)
1333
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(459): Warning 22025: line length should not exceed 120 characters (OC)
1334
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(460): Warning 22025: line length should not exceed 120 characters (OC)
1335
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(461): Warning 22025: line length should not exceed 120 characters (OC)
1336
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(462): Warning 22025: line length should not exceed 120 characters (OC)
1337
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(463): Warning 22025: line length should not exceed 120 characters (OC)
1338
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(464): Warning 22025: line length should not exceed 120 characters (OC)
1339
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(465): Warning 22025: line length should not exceed 120 characters (OC)
1340
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(466): Warning 22025: line length should not exceed 120 characters (OC)
1341
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(467): Warning 22025: line length should not exceed 120 characters (OC)
1342
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(468): Warning 22025: line length should not exceed 120 characters (OC)
1343
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(469): Warning 22025: line length should not exceed 120 characters (OC)
1344
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(470): Warning 22025: line length should not exceed 120 characters (OC)
1345
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(471): Warning 22025: line length should not exceed 120 characters (OC)
1346
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(472): Warning 22025: line length should not exceed 120 characters (OC)
1347
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(473): Warning 22025: line length should not exceed 120 characters (OC)
1348
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(474): Warning 22025: line length should not exceed 120 characters (OC)
1349
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(475): Warning 22025: line length should not exceed 120 characters (OC)
1350
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(476): Warning 22025: line length should not exceed 120 characters (OC)
1351
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(478): Warning 22025: line length should not exceed 120 characters (OC)
1352
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(479): Warning 22025: line length should not exceed 120 characters (OC)
1353
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(480): Warning 22025: line length should not exceed 120 characters (OC)
1354
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(482): Warning 22025: line length should not exceed 120 characters (OC)
1355
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(483): Warning 22025: line length should not exceed 120 characters (OC)
1356
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(484): Warning 22025: line length should not exceed 120 characters (OC)
1357
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(485): Warning 22025: line length should not exceed 120 characters (OC)
1358
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(486): Warning 22025: line length should not exceed 120 characters (OC)
1359
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(487): Warning 22025: line length should not exceed 120 characters (OC)
1360
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(488): Warning 22025: line length should not exceed 120 characters (OC)
1361
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(489): Warning 22025: line length should not exceed 120 characters (OC)
1362
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(490): Warning 22025: line length should not exceed 120 characters (OC)
1363
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(491): Warning 22025: line length should not exceed 120 characters (OC)
1364
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(492): Warning 22025: line length should not exceed 120 characters (OC)
1365
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(493): Warning 22025: line length should not exceed 120 characters (OC)
1366
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(494): Warning 22025: line length should not exceed 120 characters (OC)
1367
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(495): Warning 22025: line length should not exceed 120 characters (OC)
1368
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(496): Warning 22025: line length should not exceed 120 characters (OC)
1369
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(497): Warning 22025: line length should not exceed 120 characters (OC)
1370
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(498): Warning 22025: line length should not exceed 120 characters (OC)
1371
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(499): Warning 22025: line length should not exceed 120 characters (OC)
1372
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(500): Warning 22025: line length should not exceed 120 characters (OC)
1373
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(501): Warning 22025: line length should not exceed 120 characters (OC)
1374
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(502): Warning 22025: line length should not exceed 120 characters (OC)
1375
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(503): Warning 22025: line length should not exceed 120 characters (OC)
1376
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(504): Warning 22025: line length should not exceed 120 characters (OC)
1377
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(505): Warning 22025: line length should not exceed 120 characters (OC)
1378
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(506): Warning 22025: line length should not exceed 120 characters (OC)
1379
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(507): Warning 22025: line length should not exceed 120 characters (OC)
1380
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(508): Warning 22025: line length should not exceed 120 characters (OC)
1381
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(509): Warning 22025: line length should not exceed 120 characters (OC)
1382
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(510): Warning 22025: line length should not exceed 120 characters (OC)
1383
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(511): Warning 22025: line length should not exceed 120 characters (OC)
1384
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(512): Warning 22025: line length should not exceed 120 characters (OC)
1385
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(513): Warning 22025: line length should not exceed 120 characters (OC)
1386
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(515): Warning 22025: line length should not exceed 120 characters (OC)
1387
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(516): Warning 22025: line length should not exceed 120 characters (OC)
1388
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(517): Warning 22025: line length should not exceed 120 characters (OC)
1389
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(519): Warning 22025: line length should not exceed 120 characters (OC)
1390
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(520): Warning 22025: line length should not exceed 120 characters (OC)
1391
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(521): Warning 22025: line length should not exceed 120 characters (OC)
1392
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(522): Warning 22025: line length should not exceed 120 characters (OC)
1393
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(523): Warning 22025: line length should not exceed 120 characters (OC)
1394
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(524): Warning 22025: line length should not exceed 120 characters (OC)
1395
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(525): Warning 22025: line length should not exceed 120 characters (OC)
1396
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(526): Warning 22025: line length should not exceed 120 characters (OC)
1397
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(527): Warning 22025: line length should not exceed 120 characters (OC)
1398
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(528): Warning 22025: line length should not exceed 120 characters (OC)
1399
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(529): Warning 22025: line length should not exceed 120 characters (OC)
1400
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(530): Warning 22025: line length should not exceed 120 characters (OC)
1401
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(531): Warning 22025: line length should not exceed 120 characters (OC)
1402
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(532): Warning 22025: line length should not exceed 120 characters (OC)
1403
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(533): Warning 22025: line length should not exceed 120 characters (OC)
1404
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(534): Warning 22025: line length should not exceed 120 characters (OC)
1405
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(535): Warning 22025: line length should not exceed 120 characters (OC)
1406
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(536): Warning 22025: line length should not exceed 120 characters (OC)
1407
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(537): Warning 22025: line length should not exceed 120 characters (OC)
1408
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(538): Warning 22025: line length should not exceed 120 characters (OC)
1409
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(539): Warning 22025: line length should not exceed 120 characters (OC)
1410
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(540): Warning 22025: line length should not exceed 120 characters (OC)
1411
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(541): Warning 22025: line length should not exceed 120 characters (OC)
1412
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(542): Warning 22025: line length should not exceed 120 characters (OC)
1413
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(543): Warning 22025: line length should not exceed 120 characters (OC)
1414
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(544): Warning 22025: line length should not exceed 120 characters (OC)
1415
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(545): Warning 22025: line length should not exceed 120 characters (OC)
1416
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(546): Warning 22025: line length should not exceed 120 characters (OC)
1417
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(547): Warning 22025: line length should not exceed 120 characters (OC)
1418
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(548): Warning 22025: line length should not exceed 120 characters (OC)
1419
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(549): Warning 22025: line length should not exceed 120 characters (OC)
1420
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(550): Warning 22025: line length should not exceed 120 characters (OC)
1421
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(552): Warning 22025: line length should not exceed 120 characters (OC)
1422
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(553): Warning 22025: line length should not exceed 120 characters (OC)
1423
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(554): Warning 22025: line length should not exceed 120 characters (OC)
1424
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(556): Warning 22025: line length should not exceed 120 characters (OC)
1425
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(557): Warning 22025: line length should not exceed 120 characters (OC)
1426
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(558): Warning 22025: line length should not exceed 120 characters (OC)
1427
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(559): Warning 22025: line length should not exceed 120 characters (OC)
1428
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(560): Warning 22025: line length should not exceed 120 characters (OC)
1429
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(561): Warning 22025: line length should not exceed 120 characters (OC)
1430
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(562): Warning 22025: line length should not exceed 120 characters (OC)
1431
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(563): Warning 22025: line length should not exceed 120 characters (OC)
1432
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(564): Warning 22025: line length should not exceed 120 characters (OC)
1433
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(565): Warning 22025: line length should not exceed 120 characters (OC)
1434
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(566): Warning 22025: line length should not exceed 120 characters (OC)
1435
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(567): Warning 22025: line length should not exceed 120 characters (OC)
1436
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(568): Warning 22025: line length should not exceed 120 characters (OC)
1437
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(569): Warning 22025: line length should not exceed 120 characters (OC)
1438
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(570): Warning 22025: line length should not exceed 120 characters (OC)
1439
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(571): Warning 22025: line length should not exceed 120 characters (OC)
1440
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(572): Warning 22025: line length should not exceed 120 characters (OC)
1441
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(573): Warning 22025: line length should not exceed 120 characters (OC)
1442
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(574): Warning 22025: line length should not exceed 120 characters (OC)
1443
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(575): Warning 22025: line length should not exceed 120 characters (OC)
1444
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(576): Warning 22025: line length should not exceed 120 characters (OC)
1445
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(577): Warning 22025: line length should not exceed 120 characters (OC)
1446
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(578): Warning 22025: line length should not exceed 120 characters (OC)
1447
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(579): Warning 22025: line length should not exceed 120 characters (OC)
1448
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(580): Warning 22025: line length should not exceed 120 characters (OC)
1449
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(581): Warning 22025: line length should not exceed 120 characters (OC)
1450
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(582): Warning 22025: line length should not exceed 120 characters (OC)
1451
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(583): Warning 22025: line length should not exceed 120 characters (OC)
1452
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(584): Warning 22025: line length should not exceed 120 characters (OC)
1453
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(585): Warning 22025: line length should not exceed 120 characters (OC)
1454
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(586): Warning 22025: line length should not exceed 120 characters (OC)
1455
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(587): Warning 22025: line length should not exceed 120 characters (OC)
1456
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(589): Warning 22025: line length should not exceed 120 characters (OC)
1457
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(590): Warning 22025: line length should not exceed 120 characters (OC)
1458
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(591): Warning 22025: line length should not exceed 120 characters (OC)
1459
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(593): Warning 22025: line length should not exceed 120 characters (OC)
1460
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(594): Warning 22025: line length should not exceed 120 characters (OC)
1461
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(595): Warning 22025: line length should not exceed 120 characters (OC)
1462
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(596): Warning 22025: line length should not exceed 120 characters (OC)
1463
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(597): Warning 22025: line length should not exceed 120 characters (OC)
1464
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(598): Warning 22025: line length should not exceed 120 characters (OC)
1465
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(599): Warning 22025: line length should not exceed 120 characters (OC)
1466
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(600): Warning 22025: line length should not exceed 120 characters (OC)
1467
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(601): Warning 22025: line length should not exceed 120 characters (OC)
1468
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(602): Warning 22025: line length should not exceed 120 characters (OC)
1469
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(603): Warning 22025: line length should not exceed 120 characters (OC)
1470
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(604): Warning 22025: line length should not exceed 120 characters (OC)
1471
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(605): Warning 22025: line length should not exceed 120 characters (OC)
1472
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(606): Warning 22025: line length should not exceed 120 characters (OC)
1473
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(607): Warning 22025: line length should not exceed 120 characters (OC)
1474
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(608): Warning 22025: line length should not exceed 120 characters (OC)
1475
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(609): Warning 22025: line length should not exceed 120 characters (OC)
1476
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(610): Warning 22025: line length should not exceed 120 characters (OC)
1477
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(611): Warning 22025: line length should not exceed 120 characters (OC)
1478
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(612): Warning 22025: line length should not exceed 120 characters (OC)
1479
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(613): Warning 22025: line length should not exceed 120 characters (OC)
1480
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(614): Warning 22025: line length should not exceed 120 characters (OC)
1481
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(615): Warning 22025: line length should not exceed 120 characters (OC)
1482
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(616): Warning 22025: line length should not exceed 120 characters (OC)
1483
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(617): Warning 22025: line length should not exceed 120 characters (OC)
1484
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(618): Warning 22025: line length should not exceed 120 characters (OC)
1485
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(619): Warning 22025: line length should not exceed 120 characters (OC)
1486
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(620): Warning 22025: line length should not exceed 120 characters (OC)
1487
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(621): Warning 22025: line length should not exceed 120 characters (OC)
1488
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(622): Warning 22025: line length should not exceed 120 characters (OC)
1489
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(623): Warning 22025: line length should not exceed 120 characters (OC)
1490
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(624): Warning 22025: line length should not exceed 120 characters (OC)
1491
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(626): Warning 22025: line length should not exceed 120 characters (OC)
1492
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(627): Warning 22025: line length should not exceed 120 characters (OC)
1493
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(628): Warning 22025: line length should not exceed 120 characters (OC)
1494
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(630): Warning 22025: line length should not exceed 120 characters (OC)
1495
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(631): Warning 22025: line length should not exceed 120 characters (OC)
1496
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(632): Warning 22025: line length should not exceed 120 characters (OC)
1497
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(633): Warning 22025: line length should not exceed 120 characters (OC)
1498
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(634): Warning 22025: line length should not exceed 120 characters (OC)
1499
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(635): Warning 22025: line length should not exceed 120 characters (OC)
1500
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(636): Warning 22025: line length should not exceed 120 characters (OC)
1501
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(637): Warning 22025: line length should not exceed 120 characters (OC)
1502
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(638): Warning 22025: line length should not exceed 120 characters (OC)
1503
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(639): Warning 22025: line length should not exceed 120 characters (OC)
1504
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(640): Warning 22025: line length should not exceed 120 characters (OC)
1505
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(641): Warning 22025: line length should not exceed 120 characters (OC)
1506
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(642): Warning 22025: line length should not exceed 120 characters (OC)
1507
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(643): Warning 22025: line length should not exceed 120 characters (OC)
1508
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(644): Warning 22025: line length should not exceed 120 characters (OC)
1509
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(645): Warning 22025: line length should not exceed 120 characters (OC)
1510
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(646): Warning 22025: line length should not exceed 120 characters (OC)
1511
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(647): Warning 22025: line length should not exceed 120 characters (OC)
1512
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(648): Warning 22025: line length should not exceed 120 characters (OC)
1513
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(649): Warning 22025: line length should not exceed 120 characters (OC)
1514
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(650): Warning 22025: line length should not exceed 120 characters (OC)
1515
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(651): Warning 22025: line length should not exceed 120 characters (OC)
1516
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(652): Warning 22025: line length should not exceed 120 characters (OC)
1517
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(653): Warning 22025: line length should not exceed 120 characters (OC)
1518
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(654): Warning 22025: line length should not exceed 120 characters (OC)
1519
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(655): Warning 22025: line length should not exceed 120 characters (OC)
1520
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(656): Warning 22025: line length should not exceed 120 characters (OC)
1521
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(657): Warning 22025: line length should not exceed 120 characters (OC)
1522
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(658): Warning 22025: line length should not exceed 120 characters (OC)
1523
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(659): Warning 22025: line length should not exceed 120 characters (OC)
1524
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(660): Warning 22025: line length should not exceed 120 characters (OC)
1525
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(661): Warning 22025: line length should not exceed 120 characters (OC)
1526
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(663): Warning 22025: line length should not exceed 120 characters (OC)
1527
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(664): Warning 22025: line length should not exceed 120 characters (OC)
1528
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(665): Warning 22025: line length should not exceed 120 characters (OC)
1529
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(667): Warning 22025: line length should not exceed 120 characters (OC)
1530
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(668): Warning 22025: line length should not exceed 120 characters (OC)
1531
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(669): Warning 22025: line length should not exceed 120 characters (OC)
1532
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(670): Warning 22025: line length should not exceed 120 characters (OC)
1533
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(671): Warning 22025: line length should not exceed 120 characters (OC)
1534
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(672): Warning 22025: line length should not exceed 120 characters (OC)
1535
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(673): Warning 22025: line length should not exceed 120 characters (OC)
1536
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(674): Warning 22025: line length should not exceed 120 characters (OC)
1537
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(675): Warning 22025: line length should not exceed 120 characters (OC)
1538
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(676): Warning 22025: line length should not exceed 120 characters (OC)
1539
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(677): Warning 22025: line length should not exceed 120 characters (OC)
1540
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(678): Warning 22025: line length should not exceed 120 characters (OC)
1541
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(679): Warning 22025: line length should not exceed 120 characters (OC)
1542
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(680): Warning 22025: line length should not exceed 120 characters (OC)
1543
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(681): Warning 22025: line length should not exceed 120 characters (OC)
1544
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(682): Warning 22025: line length should not exceed 120 characters (OC)
1545
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(683): Warning 22025: line length should not exceed 120 characters (OC)
1546
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(684): Warning 22025: line length should not exceed 120 characters (OC)
1547
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(685): Warning 22025: line length should not exceed 120 characters (OC)
1548
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(686): Warning 22025: line length should not exceed 120 characters (OC)
1549
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(687): Warning 22025: line length should not exceed 120 characters (OC)
1550
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(688): Warning 22025: line length should not exceed 120 characters (OC)
1551
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(689): Warning 22025: line length should not exceed 120 characters (OC)
1552
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(690): Warning 22025: line length should not exceed 120 characters (OC)
1553
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(691): Warning 22025: line length should not exceed 120 characters (OC)
1554
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(692): Warning 22025: line length should not exceed 120 characters (OC)
1555
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(693): Warning 22025: line length should not exceed 120 characters (OC)
1556
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(694): Warning 22025: line length should not exceed 120 characters (OC)
1557
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(695): Warning 22025: line length should not exceed 120 characters (OC)
1558
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(696): Warning 22025: line length should not exceed 120 characters (OC)
1559
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(697): Warning 22025: line length should not exceed 120 characters (OC)
1560
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(698): Warning 22025: line length should not exceed 120 characters (OC)
1561
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(700): Warning 22025: line length should not exceed 120 characters (OC)
1562
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(701): Warning 22025: line length should not exceed 120 characters (OC)
1563
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(702): Warning 22025: line length should not exceed 120 characters (OC)
1564
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(704): Warning 22025: line length should not exceed 120 characters (OC)
1565
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(705): Warning 22025: line length should not exceed 120 characters (OC)
1566
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(706): Warning 22025: line length should not exceed 120 characters (OC)
1567
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(707): Warning 22025: line length should not exceed 120 characters (OC)
1568
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(708): Warning 22025: line length should not exceed 120 characters (OC)
1569
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(709): Warning 22025: line length should not exceed 120 characters (OC)
1570
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(710): Warning 22025: line length should not exceed 120 characters (OC)
1571
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(711): Warning 22025: line length should not exceed 120 characters (OC)
1572
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(712): Warning 22025: line length should not exceed 120 characters (OC)
1573
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(713): Warning 22025: line length should not exceed 120 characters (OC)
1574
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(714): Warning 22025: line length should not exceed 120 characters (OC)
1575
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(715): Warning 22025: line length should not exceed 120 characters (OC)
1576
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(716): Warning 22025: line length should not exceed 120 characters (OC)
1577
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(717): Warning 22025: line length should not exceed 120 characters (OC)
1578
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(718): Warning 22025: line length should not exceed 120 characters (OC)
1579
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(719): Warning 22025: line length should not exceed 120 characters (OC)
1580
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(720): Warning 22025: line length should not exceed 120 characters (OC)
1581
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(721): Warning 22025: line length should not exceed 120 characters (OC)
1582
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(722): Warning 22025: line length should not exceed 120 characters (OC)
1583
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(723): Warning 22025: line length should not exceed 120 characters (OC)
1584
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(724): Warning 22025: line length should not exceed 120 characters (OC)
1585
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(725): Warning 22025: line length should not exceed 120 characters (OC)
1586
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(726): Warning 22025: line length should not exceed 120 characters (OC)
1587
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(727): Warning 22025: line length should not exceed 120 characters (OC)
1588
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(728): Warning 22025: line length should not exceed 120 characters (OC)
1589
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(729): Warning 22025: line length should not exceed 120 characters (OC)
1590
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(730): Warning 22025: line length should not exceed 120 characters (OC)
1591
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(731): Warning 22025: line length should not exceed 120 characters (OC)
1592
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(732): Warning 22025: line length should not exceed 120 characters (OC)
1593
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(733): Warning 22025: line length should not exceed 120 characters (OC)
1594
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(734): Warning 22025: line length should not exceed 120 characters (OC)
1595
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(735): Warning 22025: line length should not exceed 120 characters (OC)
1596
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(737): Warning 22025: line length should not exceed 120 characters (OC)
1597
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(738): Warning 22025: line length should not exceed 120 characters (OC)
1598
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(739): Warning 22025: line length should not exceed 120 characters (OC)
1599
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(741): Warning 22025: line length should not exceed 120 characters (OC)
1600
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(742): Warning 22025: line length should not exceed 120 characters (OC)
1601
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(743): Warning 22025: line length should not exceed 120 characters (OC)
1602
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(744): Warning 22025: line length should not exceed 120 characters (OC)
1603
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(745): Warning 22025: line length should not exceed 120 characters (OC)
1604
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(746): Warning 22025: line length should not exceed 120 characters (OC)
1605
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(747): Warning 22025: line length should not exceed 120 characters (OC)
1606
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(748): Warning 22025: line length should not exceed 120 characters (OC)
1607
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(749): Warning 22025: line length should not exceed 120 characters (OC)
1608
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(750): Warning 22025: line length should not exceed 120 characters (OC)
1609
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(751): Warning 22025: line length should not exceed 120 characters (OC)
1610
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(752): Warning 22025: line length should not exceed 120 characters (OC)
1611
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(753): Warning 22025: line length should not exceed 120 characters (OC)
1612
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(754): Warning 22025: line length should not exceed 120 characters (OC)
1613
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(755): Warning 22025: line length should not exceed 120 characters (OC)
1614
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(756): Warning 22025: line length should not exceed 120 characters (OC)
1615
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(757): Warning 22025: line length should not exceed 120 characters (OC)
1616
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(758): Warning 22025: line length should not exceed 120 characters (OC)
1617
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(759): Warning 22025: line length should not exceed 120 characters (OC)
1618
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(760): Warning 22025: line length should not exceed 120 characters (OC)
1619
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(761): Warning 22025: line length should not exceed 120 characters (OC)
1620
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(762): Warning 22025: line length should not exceed 120 characters (OC)
1621
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(763): Warning 22025: line length should not exceed 120 characters (OC)
1622
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(764): Warning 22025: line length should not exceed 120 characters (OC)
1623
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(765): Warning 22025: line length should not exceed 120 characters (OC)
1624
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(766): Warning 22025: line length should not exceed 120 characters (OC)
1625
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(767): Warning 22025: line length should not exceed 120 characters (OC)
1626
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(768): Warning 22025: line length should not exceed 120 characters (OC)
1627
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(769): Warning 22025: line length should not exceed 120 characters (OC)
1628
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(770): Warning 22025: line length should not exceed 120 characters (OC)
1629
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(771): Warning 22025: line length should not exceed 120 characters (OC)
1630
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(772): Warning 22025: line length should not exceed 120 characters (OC)
1631
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(774): Warning 22025: line length should not exceed 120 characters (OC)
1632
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(832): Warning 22025: line length should not exceed 120 characters (OC)
1633
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(838): Warning 22025: line length should not exceed 120 characters (OC)
1634
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(843): Warning 22025: line length should not exceed 120 characters (OC)
1635
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(847): Warning 22025: line length should not exceed 120 characters (OC)
1636
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(849): Warning 22025: line length should not exceed 120 characters (OC)
1637
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(852): Warning 22025: line length should not exceed 120 characters (OC)
1638
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(854): Warning 22025: line length should not exceed 120 characters (OC)
1639
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(859): Warning 22025: line length should not exceed 120 characters (OC)
1640
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(860): Warning 22025: line length should not exceed 120 characters (OC)
1641
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(861): Warning 22025: line length should not exceed 120 characters (OC)
1642
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(865): Warning 22025: line length should not exceed 120 characters (OC)
1643
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(867): Warning 22025: line length should not exceed 120 characters (OC)
1644
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(869): Warning 22025: line length should not exceed 120 characters (OC)
1645
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(872): Warning 22025: line length should not exceed 120 characters (OC)
1646
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(873): Warning 22025: line length should not exceed 120 characters (OC)
1647
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(875): Warning 22025: line length should not exceed 120 characters (OC)
1648
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(877): Warning 22025: line length should not exceed 120 characters (OC)
1649
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(880): Warning 22025: line length should not exceed 120 characters (OC)
1650
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(881): Warning 22025: line length should not exceed 120 characters (OC)
1651
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(882): Warning 22025: line length should not exceed 120 characters (OC)
1652
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(884): Warning 22025: line length should not exceed 120 characters (OC)
1653
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(889): Warning 22025: line length should not exceed 120 characters (OC)
1654
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(890): Warning 22025: line length should not exceed 120 characters (OC)
1655
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(893): Warning 22025: line length should not exceed 120 characters (OC)
1656
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(894): Warning 22025: line length should not exceed 120 characters (OC)
1657
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(895): Warning 22025: line length should not exceed 120 characters (OC)
1658
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(899): Warning 22025: line length should not exceed 120 characters (OC)
1659
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(900): Warning 22025: line length should not exceed 120 characters (OC)
1660
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(902): Warning 22025: line length should not exceed 120 characters (OC)
1661
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(904): Warning 22025: line length should not exceed 120 characters (OC)
1662
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(906): Warning 22025: line length should not exceed 120 characters (OC)
1663
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(909): Warning 22025: line length should not exceed 120 characters (OC)
1664
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(910): Warning 22025: line length should not exceed 120 characters (OC)
1665
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(911): Warning 22025: line length should not exceed 120 characters (OC)
1666
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(912): Warning 22025: line length should not exceed 120 characters (OC)
1667
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(914): Warning 22025: line length should not exceed 120 characters (OC)
1668
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(916): Warning 22025: line length should not exceed 120 characters (OC)
1669
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(919): Warning 22025: line length should not exceed 120 characters (OC)
1670
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(920): Warning 22025: line length should not exceed 120 characters (OC)
1671
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(922): Warning 22025: line length should not exceed 120 characters (OC)
1672
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(923): Warning 22025: line length should not exceed 120 characters (OC)
1673
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(924): Warning 22025: line length should not exceed 120 characters (OC)
1674
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(926): Warning 22025: line length should not exceed 120 characters (OC)
1675
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(929): Warning 22025: line length should not exceed 120 characters (OC)
1676
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(930): Warning 22025: line length should not exceed 120 characters (OC)
1677
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(931): Warning 22025: line length should not exceed 120 characters (OC)
1678
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(933): Warning 22025: line length should not exceed 120 characters (OC)
1679
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(934): Warning 22025: line length should not exceed 120 characters (OC)
1680
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(936): Warning 22025: line length should not exceed 120 characters (OC)
1681
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(938): Warning 22025: line length should not exceed 120 characters (OC)
1682
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(941): Warning 22025: line length should not exceed 120 characters (OC)
1683
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(942): Warning 22025: line length should not exceed 120 characters (OC)
1684
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(943): Warning 22025: line length should not exceed 120 characters (OC)
1685
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(944): Warning 22025: line length should not exceed 120 characters (OC)
1686
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(945): Warning 22025: line length should not exceed 120 characters (OC)
1687
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(946): Warning 22025: line length should not exceed 120 characters (OC)
1688
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(948): Warning 22025: line length should not exceed 120 characters (OC)
1689
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(953): Warning 22025: line length should not exceed 120 characters (OC)
1690
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(954): Warning 22025: line length should not exceed 120 characters (OC)
1691
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(955): Warning 22025: line length should not exceed 120 characters (OC)
1692
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(958): Warning 22025: line length should not exceed 120 characters (OC)
1693
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(959): Warning 22025: line length should not exceed 120 characters (OC)
1694
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(962): Warning 22025: line length should not exceed 120 characters (OC)
1695
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(963): Warning 22025: line length should not exceed 120 characters (OC)
1696
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(964): Warning 22025: line length should not exceed 120 characters (OC)
1697
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(968): Warning 22025: line length should not exceed 120 characters (OC)
1698
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(969): Warning 22025: line length should not exceed 120 characters (OC)
1699
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(970): Warning 22025: line length should not exceed 120 characters (OC)
1700
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(972): Warning 22025: line length should not exceed 120 characters (OC)
1701
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(973): Warning 22025: line length should not exceed 120 characters (OC)
1702
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(975): Warning 22025: line length should not exceed 120 characters (OC)
1703
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(976): Warning 22025: line length should not exceed 120 characters (OC)
1704
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(977): Warning 22025: line length should not exceed 120 characters (OC)
1705
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(982): Warning 22025: line length should not exceed 120 characters (OC)
1706
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(983): Warning 22025: line length should not exceed 120 characters (OC)
1707
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(984): Warning 22025: line length should not exceed 120 characters (OC)
1708
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(985): Warning 22025: line length should not exceed 120 characters (OC)
1709
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(986): Warning 22025: line length should not exceed 120 characters (OC)
1710
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(987): Warning 22025: line length should not exceed 120 characters (OC)
1711
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(988): Warning 22025: line length should not exceed 120 characters (OC)
1712
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(990): Warning 22025: line length should not exceed 120 characters (OC)
1713
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(991): Warning 22025: line length should not exceed 120 characters (OC)
1714
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(992): Warning 22025: line length should not exceed 120 characters (OC)
1715
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(997): Warning 22025: line length should not exceed 120 characters (OC)
1716
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(998): Warning 22025: line length should not exceed 120 characters (OC)
1717
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(999): Warning 22025: line length should not exceed 120 characters (OC)
1718
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1000): Warning 22025: line length should not exceed 120 characters (OC)
1719
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1003): Warning 22025: line length should not exceed 120 characters (OC)
1720
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1004): Warning 22025: line length should not exceed 120 characters (OC)
1721
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1005): Warning 22025: line length should not exceed 120 characters (OC)
1722
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1006): Warning 22025: line length should not exceed 120 characters (OC)
1723
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1007): Warning 22025: line length should not exceed 120 characters (OC)
1724
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1008): Warning 22025: line length should not exceed 120 characters (OC)
1725
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1013): Warning 22025: line length should not exceed 120 characters (OC)
1726
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1014): Warning 22025: line length should not exceed 120 characters (OC)
1727
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1015): Warning 22025: line length should not exceed 120 characters (OC)
1728
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1016): Warning 22025: line length should not exceed 120 characters (OC)
1729
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1017): Warning 22025: line length should not exceed 120 characters (OC)
1730
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1019): Warning 22025: line length should not exceed 120 characters (OC)
1731
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1020): Warning 22025: line length should not exceed 120 characters (OC)
1732
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1021): Warning 22025: line length should not exceed 120 characters (OC)
1733
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1022): Warning 22025: line length should not exceed 120 characters (OC)
1734
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1023): Warning 22025: line length should not exceed 120 characters (OC)
1735
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1024): Warning 22025: line length should not exceed 120 characters (OC)
1736
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1029): Warning 22025: line length should not exceed 120 characters (OC)
1737
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1030): Warning 22025: line length should not exceed 120 characters (OC)
1738
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1031): Warning 22025: line length should not exceed 120 characters (OC)
1739
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1032): Warning 22025: line length should not exceed 120 characters (OC)
1740
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1033): Warning 22025: line length should not exceed 120 characters (OC)
1741
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1034): Warning 22025: line length should not exceed 120 characters (OC)
1742
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1035): Warning 22025: line length should not exceed 120 characters (OC)
1743
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1036): Warning 22025: line length should not exceed 120 characters (OC)
1744
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1037): Warning 22025: line length should not exceed 120 characters (OC)
1745
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1038): Warning 22025: line length should not exceed 120 characters (OC)
1746
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1039): Warning 22025: line length should not exceed 120 characters (OC)
1747
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1044): Warning 22025: line length should not exceed 120 characters (OC)
1748
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1045): Warning 22025: line length should not exceed 120 characters (OC)
1749
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1046): Warning 22025: line length should not exceed 120 characters (OC)
1750
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1047): Warning 22025: line length should not exceed 120 characters (OC)
1751
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1048): Warning 22025: line length should not exceed 120 characters (OC)
1752
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1050): Warning 22025: line length should not exceed 120 characters (OC)
1753
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1051): Warning 22025: line length should not exceed 120 characters (OC)
1754
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1052): Warning 22025: line length should not exceed 120 characters (OC)
1755
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1053): Warning 22025: line length should not exceed 120 characters (OC)
1756
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1054): Warning 22025: line length should not exceed 120 characters (OC)
1757
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1055): Warning 22025: line length should not exceed 120 characters (OC)
1758
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1060): Warning 22025: line length should not exceed 120 characters (OC)
1759
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1061): Warning 22025: line length should not exceed 120 characters (OC)
1760
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1062): Warning 22025: line length should not exceed 120 characters (OC)
1761
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1063): Warning 22025: line length should not exceed 120 characters (OC)
1762
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1064): Warning 22025: line length should not exceed 120 characters (OC)
1763
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1066): Warning 22025: line length should not exceed 120 characters (OC)
1764
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1067): Warning 22025: line length should not exceed 120 characters (OC)
1765
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1068): Warning 22025: line length should not exceed 120 characters (OC)
1766
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1070): Warning 22025: line length should not exceed 120 characters (OC)
1767
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1071): Warning 22025: line length should not exceed 120 characters (OC)
1768
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1073): Warning 22025: line length should not exceed 120 characters (OC)
1769
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1074): Warning 22025: line length should not exceed 120 characters (OC)
1770
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1078): Warning 22025: line length should not exceed 120 characters (OC)
1771
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1079): Warning 22025: line length should not exceed 120 characters (OC)
1772
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1080): Warning 22025: line length should not exceed 120 characters (OC)
1773
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1081): Warning 22025: line length should not exceed 120 characters (OC)
1774
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1082): Warning 22025: line length should not exceed 120 characters (OC)
1775
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1083): Warning 22025: line length should not exceed 120 characters (OC)
1776
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1084): Warning 22025: line length should not exceed 120 characters (OC)
1777
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1085): Warning 22025: line length should not exceed 120 characters (OC)
1778
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1086): Warning 22025: line length should not exceed 120 characters (OC)
1779
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1087): Warning 22025: line length should not exceed 120 characters (OC)
1780
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1088): Warning 22025: line length should not exceed 120 characters (OC)
1781
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1089): Warning 22025: line length should not exceed 120 characters (OC)
1782
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1094): Warning 22025: line length should not exceed 120 characters (OC)
1783
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1098): Warning 22025: line length should not exceed 120 characters (OC)
1784
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1099): Warning 22025: line length should not exceed 120 characters (OC)
1785
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1100): Warning 22025: line length should not exceed 120 characters (OC)
1786
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1101): Warning 22025: line length should not exceed 120 characters (OC)
1787
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1103): Warning 22025: line length should not exceed 120 characters (OC)
1788
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1104): Warning 22025: line length should not exceed 120 characters (OC)
1789
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1105): Warning 22025: line length should not exceed 120 characters (OC)
1790
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1107): Warning 22025: line length should not exceed 120 characters (OC)
1791
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1108): Warning 22025: line length should not exceed 120 characters (OC)
1792
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1110): Warning 22025: line length should not exceed 120 characters (OC)
1793
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1116): Warning 22025: line length should not exceed 120 characters (OC)
1794
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1119): Warning 22025: line length should not exceed 120 characters (OC)
1795
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1120): Warning 22025: line length should not exceed 120 characters (OC)
1796
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1121): Warning 22025: line length should not exceed 120 characters (OC)
1797
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1122): Warning 22025: line length should not exceed 120 characters (OC)
1798
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1123): Warning 22025: line length should not exceed 120 characters (OC)
1799
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1124): Warning 22025: line length should not exceed 120 characters (OC)
1800
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1126): Warning 22025: line length should not exceed 120 characters (OC)
1801
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1127): Warning 22025: line length should not exceed 120 characters (OC)
1802
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1128): Warning 22025: line length should not exceed 120 characters (OC)
1803
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1131): Warning 22025: line length should not exceed 120 characters (OC)
1804
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1132): Warning 22025: line length should not exceed 120 characters (OC)
1805
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1135): Warning 22025: line length should not exceed 120 characters (OC)
1806
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1136): Warning 22025: line length should not exceed 120 characters (OC)
1807
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1140): Warning 22025: line length should not exceed 120 characters (OC)
1808
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1142): Warning 22025: line length should not exceed 120 characters (OC)
1809
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1143): Warning 22025: line length should not exceed 120 characters (OC)
1810
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1144): Warning 22025: line length should not exceed 120 characters (OC)
1811
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1145): Warning 22025: line length should not exceed 120 characters (OC)
1812
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1146): Warning 22025: line length should not exceed 120 characters (OC)
1813
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1147): Warning 22025: line length should not exceed 120 characters (OC)
1814
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1148): Warning 22025: line length should not exceed 120 characters (OC)
1815
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1149): Warning 22025: line length should not exceed 120 characters (OC)
1816
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1151): Warning 22025: line length should not exceed 120 characters (OC)
1817
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1152): Warning 22025: line length should not exceed 120 characters (OC)
1818
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1154): Warning 22025: line length should not exceed 120 characters (OC)
1819
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1155): Warning 22025: line length should not exceed 120 characters (OC)
1820
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1159): Warning 22025: line length should not exceed 120 characters (OC)
1821
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1162): Warning 22025: line length should not exceed 120 characters (OC)
1822
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1163): Warning 22025: line length should not exceed 120 characters (OC)
1823
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1164): Warning 22025: line length should not exceed 120 characters (OC)
1824
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1165): Warning 22025: line length should not exceed 120 characters (OC)
1825
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1166): Warning 22025: line length should not exceed 120 characters (OC)
1826
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1167): Warning 22025: line length should not exceed 120 characters (OC)
1827
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1170): Warning 22025: line length should not exceed 120 characters (OC)
1828
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1171): Warning 22025: line length should not exceed 120 characters (OC)
1829
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1172): Warning 22025: line length should not exceed 120 characters (OC)
1830
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1173): Warning 22025: line length should not exceed 120 characters (OC)
1831
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1174): Warning 22025: line length should not exceed 120 characters (OC)
1832
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1175): Warning 22025: line length should not exceed 120 characters (OC)
1833
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1177): Warning 22025: line length should not exceed 120 characters (OC)
1834
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1178): Warning 22025: line length should not exceed 120 characters (OC)
1835
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1182): Warning 22025: line length should not exceed 120 characters (OC)
1836
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1185): Warning 22025: line length should not exceed 120 characters (OC)
1837
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1186): Warning 22025: line length should not exceed 120 characters (OC)
1838
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1187): Warning 22025: line length should not exceed 120 characters (OC)
1839
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1188): Warning 22025: line length should not exceed 120 characters (OC)
1840
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1189): Warning 22025: line length should not exceed 120 characters (OC)
1841
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1190): Warning 22025: line length should not exceed 120 characters (OC)
1842
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1192): Warning 22025: line length should not exceed 120 characters (OC)
1843
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1193): Warning 22025: line length should not exceed 120 characters (OC)
1844
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1194): Warning 22025: line length should not exceed 120 characters (OC)
1845
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1197): Warning 22025: line length should not exceed 120 characters (OC)
1846
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1198): Warning 22025: line length should not exceed 120 characters (OC)
1847
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1199): Warning 22025: line length should not exceed 120 characters (OC)
1848
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1200): Warning 22025: line length should not exceed 120 characters (OC)
1849
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1201): Warning 22025: line length should not exceed 120 characters (OC)
1850
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1205): Warning 22025: line length should not exceed 120 characters (OC)
1851
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1208): Warning 22025: line length should not exceed 120 characters (OC)
1852
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1209): Warning 22025: line length should not exceed 120 characters (OC)
1853
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1210): Warning 22025: line length should not exceed 120 characters (OC)
1854
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1211): Warning 22025: line length should not exceed 120 characters (OC)
1855
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1212): Warning 22025: line length should not exceed 120 characters (OC)
1856
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1213): Warning 22025: line length should not exceed 120 characters (OC)
1857
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1215): Warning 22025: line length should not exceed 120 characters (OC)
1858
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1216): Warning 22025: line length should not exceed 120 characters (OC)
1859
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1217): Warning 22025: line length should not exceed 120 characters (OC)
1860
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1218): Warning 22025: line length should not exceed 120 characters (OC)
1861
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1219): Warning 22025: line length should not exceed 120 characters (OC)
1862
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1220): Warning 22025: line length should not exceed 120 characters (OC)
1863
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1222): Warning 22025: line length should not exceed 120 characters (OC)
1864
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1223): Warning 22025: line length should not exceed 120 characters (OC)
1865
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1227): Warning 22025: line length should not exceed 120 characters (OC)
1866
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1230): Warning 22025: line length should not exceed 120 characters (OC)
1867
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1231): Warning 22025: line length should not exceed 120 characters (OC)
1868
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1232): Warning 22025: line length should not exceed 120 characters (OC)
1869
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1233): Warning 22025: line length should not exceed 120 characters (OC)
1870
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1234): Warning 22025: line length should not exceed 120 characters (OC)
1871
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1235): Warning 22025: line length should not exceed 120 characters (OC)
1872
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1238): Warning 22025: line length should not exceed 120 characters (OC)
1873
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1239): Warning 22025: line length should not exceed 120 characters (OC)
1874
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1240): Warning 22025: line length should not exceed 120 characters (OC)
1875
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1241): Warning 22025: line length should not exceed 120 characters (OC)
1876
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1242): Warning 22025: line length should not exceed 120 characters (OC)
1877
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1243): Warning 22025: line length should not exceed 120 characters (OC)
1878
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1246): Warning 22025: line length should not exceed 120 characters (OC)
1879
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1247): Warning 22025: line length should not exceed 120 characters (OC)
1880
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1251): Warning 22025: line length should not exceed 120 characters (OC)
1881
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1254): Warning 22025: line length should not exceed 120 characters (OC)
1882
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1255): Warning 22025: line length should not exceed 120 characters (OC)
1883
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1256): Warning 22025: line length should not exceed 120 characters (OC)
1884
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1257): Warning 22025: line length should not exceed 120 characters (OC)
1885
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1258): Warning 22025: line length should not exceed 120 characters (OC)
1886
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1259): Warning 22025: line length should not exceed 120 characters (OC)
1887
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1261): Warning 22025: line length should not exceed 120 characters (OC)
1888
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1262): Warning 22025: line length should not exceed 120 characters (OC)
1889
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1263): Warning 22025: line length should not exceed 120 characters (OC)
1890
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1266): Warning 22025: line length should not exceed 120 characters (OC)
1891
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1267): Warning 22025: line length should not exceed 120 characters (OC)
1892
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1268): Warning 22025: line length should not exceed 120 characters (OC)
1893
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1269): Warning 22025: line length should not exceed 120 characters (OC)
1894
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1270): Warning 22025: line length should not exceed 120 characters (OC)
1895
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1274): Warning 22025: line length should not exceed 120 characters (OC)
1896
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1277): Warning 22025: line length should not exceed 120 characters (OC)
1897
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1278): Warning 22025: line length should not exceed 120 characters (OC)
1898
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1279): Warning 22025: line length should not exceed 120 characters (OC)
1899
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1280): Warning 22025: line length should not exceed 120 characters (OC)
1900
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1281): Warning 22025: line length should not exceed 120 characters (OC)
1901
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1282): Warning 22025: line length should not exceed 120 characters (OC)
1902
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1283): Warning 22025: line length should not exceed 120 characters (OC)
1903
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1284): Warning 22025: line length should not exceed 120 characters (OC)
1904
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1285): Warning 22025: line length should not exceed 120 characters (OC)
1905
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1287): Warning 22025: line length should not exceed 120 characters (OC)
1906
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1288): Warning 22025: line length should not exceed 120 characters (OC)
1907
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1290): Warning 22025: line length should not exceed 120 characters (OC)
1908
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1291): Warning 22025: line length should not exceed 120 characters (OC)
1909
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1295): Warning 22025: line length should not exceed 120 characters (OC)
1910
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1298): Warning 22025: line length should not exceed 120 characters (OC)
1911
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1299): Warning 22025: line length should not exceed 120 characters (OC)
1912
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1300): Warning 22025: line length should not exceed 120 characters (OC)
1913
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1301): Warning 22025: line length should not exceed 120 characters (OC)
1914
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1302): Warning 22025: line length should not exceed 120 characters (OC)
1915
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1303): Warning 22025: line length should not exceed 120 characters (OC)
1916
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1304): Warning 22025: line length should not exceed 120 characters (OC)
1917
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1305): Warning 22025: line length should not exceed 120 characters (OC)
1918
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1306): Warning 22025: line length should not exceed 120 characters (OC)
1919
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1308): Warning 22025: line length should not exceed 120 characters (OC)
1920
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1309): Warning 22025: line length should not exceed 120 characters (OC)
1921
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1311): Warning 22025: line length should not exceed 120 characters (OC)
1922
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1312): Warning 22025: line length should not exceed 120 characters (OC)
1923
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1316): Warning 22025: line length should not exceed 120 characters (OC)
1924
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1319): Warning 22025: line length should not exceed 120 characters (OC)
1925
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1320): Warning 22025: line length should not exceed 120 characters (OC)
1926
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1321): Warning 22025: line length should not exceed 120 characters (OC)
1927
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1322): Warning 22025: line length should not exceed 120 characters (OC)
1928
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1323): Warning 22025: line length should not exceed 120 characters (OC)
1929
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1325): Warning 22025: line length should not exceed 120 characters (OC)
1930
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1326): Warning 22025: line length should not exceed 120 characters (OC)
1931
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1327): Warning 22025: line length should not exceed 120 characters (OC)
1932
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1329): Warning 22025: line length should not exceed 120 characters (OC)
1933
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1330): Warning 22025: line length should not exceed 120 characters (OC)
1934
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1332): Warning 22025: line length should not exceed 120 characters (OC)
1935
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1333): Warning 22025: line length should not exceed 120 characters (OC)
1936
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1337): Warning 22025: line length should not exceed 120 characters (OC)
1937
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1340): Warning 22025: line length should not exceed 120 characters (OC)
1938
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1341): Warning 22025: line length should not exceed 120 characters (OC)
1939
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1342): Warning 22025: line length should not exceed 120 characters (OC)
1940
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1343): Warning 22025: line length should not exceed 120 characters (OC)
1941
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1344): Warning 22025: line length should not exceed 120 characters (OC)
1942
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1346): Warning 22025: line length should not exceed 120 characters (OC)
1943
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1347): Warning 22025: line length should not exceed 120 characters (OC)
1944
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1348): Warning 22025: line length should not exceed 120 characters (OC)
1945
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1350): Warning 22025: line length should not exceed 120 characters (OC)
1946
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1351): Warning 22025: line length should not exceed 120 characters (OC)
1947
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1353): Warning 22025: line length should not exceed 120 characters (OC)
1948
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1354): Warning 22025: line length should not exceed 120 characters (OC)
1949
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1358): Warning 22025: line length should not exceed 120 characters (OC)
1950
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1361): Warning 22025: line length should not exceed 120 characters (OC)
1951
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1362): Warning 22025: line length should not exceed 120 characters (OC)
1952
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1363): Warning 22025: line length should not exceed 120 characters (OC)
1953
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1364): Warning 22025: line length should not exceed 120 characters (OC)
1954
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1365): Warning 22025: line length should not exceed 120 characters (OC)
1955
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1367): Warning 22025: line length should not exceed 120 characters (OC)
1956
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1368): Warning 22025: line length should not exceed 120 characters (OC)
1957
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1369): Warning 22025: line length should not exceed 120 characters (OC)
1958
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1371): Warning 22025: line length should not exceed 120 characters (OC)
1959
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1372): Warning 22025: line length should not exceed 120 characters (OC)
1960
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1374): Warning 22025: line length should not exceed 120 characters (OC)
1961
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1375): Warning 22025: line length should not exceed 120 characters (OC)
1962
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1379): Warning 22025: line length should not exceed 120 characters (OC)
1963
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1382): Warning 22025: line length should not exceed 120 characters (OC)
1964
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1383): Warning 22025: line length should not exceed 120 characters (OC)
1965
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1384): Warning 22025: line length should not exceed 120 characters (OC)
1966
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1385): Warning 22025: line length should not exceed 120 characters (OC)
1967
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1386): Warning 22025: line length should not exceed 120 characters (OC)
1968
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1387): Warning 22025: line length should not exceed 120 characters (OC)
1969
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1390): Warning 22025: line length should not exceed 120 characters (OC)
1970
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1391): Warning 22025: line length should not exceed 120 characters (OC)
1971
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1392): Warning 22025: line length should not exceed 120 characters (OC)
1972
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1394): Warning 22025: line length should not exceed 120 characters (OC)
1973
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1395): Warning 22025: line length should not exceed 120 characters (OC)
1974
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1399): Warning 22025: line length should not exceed 120 characters (OC)
1975
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1402): Warning 22025: line length should not exceed 120 characters (OC)
1976
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1403): Warning 22025: line length should not exceed 120 characters (OC)
1977
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1404): Warning 22025: line length should not exceed 120 characters (OC)
1978
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1405): Warning 22025: line length should not exceed 120 characters (OC)
1979
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1406): Warning 22025: line length should not exceed 120 characters (OC)
1980
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1407): Warning 22025: line length should not exceed 120 characters (OC)
1981
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1408): Warning 22025: line length should not exceed 120 characters (OC)
1982
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1409): Warning 22025: line length should not exceed 120 characters (OC)
1983
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1410): Warning 22025: line length should not exceed 120 characters (OC)
1984
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1411): Warning 22025: line length should not exceed 120 characters (OC)
1985
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1415): Warning 22025: line length should not exceed 120 characters (OC)
1986
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1418): Warning 22025: line length should not exceed 120 characters (OC)
1987
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1419): Warning 22025: line length should not exceed 120 characters (OC)
1988
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1420): Warning 22025: line length should not exceed 120 characters (OC)
1989
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1421): Warning 22025: line length should not exceed 120 characters (OC)
1990
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1422): Warning 22025: line length should not exceed 120 characters (OC)
1991
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1423): Warning 22025: line length should not exceed 120 characters (OC)
1992
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1424): Warning 22025: line length should not exceed 120 characters (OC)
1993
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1425): Warning 22025: line length should not exceed 120 characters (OC)
1994
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1426): Warning 22025: line length should not exceed 120 characters (OC)
1995
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1427): Warning 22025: line length should not exceed 120 characters (OC)
1996
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1431): Warning 22025: line length should not exceed 120 characters (OC)
1997
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1434): Warning 22025: line length should not exceed 120 characters (OC)
1998
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1435): Warning 22025: line length should not exceed 120 characters (OC)
1999
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1436): Warning 22025: line length should not exceed 120 characters (OC)
2000
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1437): Warning 22025: line length should not exceed 120 characters (OC)
2001
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1440): Warning 22025: line length should not exceed 120 characters (OC)
2002
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1441): Warning 22025: line length should not exceed 120 characters (OC)
2003
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1442): Warning 22025: line length should not exceed 120 characters (OC)
2004
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1443): Warning 22025: line length should not exceed 120 characters (OC)
2005
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1444): Warning 22025: line length should not exceed 120 characters (OC)
2006
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1448): Warning 22025: line length should not exceed 120 characters (OC)
2007
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1451): Warning 22025: line length should not exceed 120 characters (OC)
2008
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1452): Warning 22025: line length should not exceed 120 characters (OC)
2009
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1453): Warning 22025: line length should not exceed 120 characters (OC)
2010
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1454): Warning 22025: line length should not exceed 120 characters (OC)
2011
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1456): Warning 22025: line length should not exceed 120 characters (OC)
2012
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1457): Warning 22025: line length should not exceed 120 characters (OC)
2013
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1459): Warning 22025: line length should not exceed 120 characters (OC)
2014
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1460): Warning 22025: line length should not exceed 120 characters (OC)
2015
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1464): Warning 22025: line length should not exceed 120 characters (OC)
2016
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1467): Warning 22025: line length should not exceed 120 characters (OC)
2017
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1468): Warning 22025: line length should not exceed 120 characters (OC)
2018
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1469): Warning 22025: line length should not exceed 120 characters (OC)
2019
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1470): Warning 22025: line length should not exceed 120 characters (OC)
2020
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1472): Warning 22025: line length should not exceed 120 characters (OC)
2021
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1473): Warning 22025: line length should not exceed 120 characters (OC)
2022
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1476): Warning 22025: line length should not exceed 120 characters (OC)
2023
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1477): Warning 22025: line length should not exceed 120 characters (OC)
2024
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1481): Warning 22025: line length should not exceed 120 characters (OC)
2025
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1484): Warning 22025: line length should not exceed 120 characters (OC)
2026
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1485): Warning 22025: line length should not exceed 120 characters (OC)
2027
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1486): Warning 22025: line length should not exceed 120 characters (OC)
2028
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1487): Warning 22025: line length should not exceed 120 characters (OC)
2029
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1489): Warning 22025: line length should not exceed 120 characters (OC)
2030
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1490): Warning 22025: line length should not exceed 120 characters (OC)
2031
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1492): Warning 22025: line length should not exceed 120 characters (OC)
2032
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1493): Warning 22025: line length should not exceed 120 characters (OC)
2033
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1497): Warning 22025: line length should not exceed 120 characters (OC)
2034
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1500): Warning 22025: line length should not exceed 120 characters (OC)
2035
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1501): Warning 22025: line length should not exceed 120 characters (OC)
2036
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1502): Warning 22025: line length should not exceed 120 characters (OC)
2037
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1503): Warning 22025: line length should not exceed 120 characters (OC)
2038
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1504): Warning 22025: line length should not exceed 120 characters (OC)
2039
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1505): Warning 22025: line length should not exceed 120 characters (OC)
2040
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1506): Warning 22025: line length should not exceed 120 characters (OC)
2041
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1511): Warning 22025: line length should not exceed 120 characters (OC)
2042
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1514): Warning 22025: line length should not exceed 120 characters (OC)
2043
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1515): Warning 22025: line length should not exceed 120 characters (OC)
2044
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1516): Warning 22025: line length should not exceed 120 characters (OC)
2045
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1517): Warning 22025: line length should not exceed 120 characters (OC)
2046
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1518): Warning 22025: line length should not exceed 120 characters (OC)
2047
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1519): Warning 22025: line length should not exceed 120 characters (OC)
2048
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1520): Warning 22025: line length should not exceed 120 characters (OC)
2049
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1525): Warning 22025: line length should not exceed 120 characters (OC)
2050
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1527): Warning 22025: line length should not exceed 120 characters (OC)
2051
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1528): Warning 22025: line length should not exceed 120 characters (OC)
2052
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1529): Warning 22025: line length should not exceed 120 characters (OC)
2053
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1530): Warning 22025: line length should not exceed 120 characters (OC)
2054
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1531): Warning 22025: line length should not exceed 120 characters (OC)
2055
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1532): Warning 22025: line length should not exceed 120 characters (OC)
2056
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1536): Warning 22025: line length should not exceed 120 characters (OC)
2057
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1538): Warning 22025: line length should not exceed 120 characters (OC)
2058
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1539): Warning 22025: line length should not exceed 120 characters (OC)
2059
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1542): Warning 22025: line length should not exceed 120 characters (OC)
2060
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1544): Warning 22025: line length should not exceed 120 characters (OC)
2061
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1545): Warning 22025: line length should not exceed 120 characters (OC)
2062
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1549): Warning 22025: line length should not exceed 120 characters (OC)
2063
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1552): Warning 22025: line length should not exceed 120 characters (OC)
2064
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1553): Warning 22025: line length should not exceed 120 characters (OC)
2065
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1554): Warning 22025: line length should not exceed 120 characters (OC)
2066
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1555): Warning 22025: line length should not exceed 120 characters (OC)
2067
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1556): Warning 22025: line length should not exceed 120 characters (OC)
2068
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1561): Warning 22025: line length should not exceed 120 characters (OC)
2069
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1564): Warning 22025: line length should not exceed 120 characters (OC)
2070
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1565): Warning 22025: line length should not exceed 120 characters (OC)
2071
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1566): Warning 22025: line length should not exceed 120 characters (OC)
2072
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1568): Warning 22025: line length should not exceed 120 characters (OC)
2073
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1569): Warning 22025: line length should not exceed 120 characters (OC)
2074
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1573): Warning 22025: line length should not exceed 120 characters (OC)
2075
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1575): Warning 22025: line length should not exceed 120 characters (OC)
2076
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1576): Warning 22025: line length should not exceed 120 characters (OC)
2077
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1577): Warning 22025: line length should not exceed 120 characters (OC)
2078
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1582): Warning 22025: line length should not exceed 120 characters (OC)
2079
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1585): Warning 22025: line length should not exceed 120 characters (OC)
2080
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1586): Warning 22025: line length should not exceed 120 characters (OC)
2081
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1587): Warning 22025: line length should not exceed 120 characters (OC)
2082
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1588): Warning 22025: line length should not exceed 120 characters (OC)
2083
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1593): Warning 22025: line length should not exceed 120 characters (OC)
2084
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1595): Warning 22025: line length should not exceed 120 characters (OC)
2085
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1597): Warning 22025: line length should not exceed 120 characters (OC)
2086
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1602): Warning 22025: line length should not exceed 120 characters (OC)
2087
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1604): Warning 22025: line length should not exceed 120 characters (OC)
2088
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1606): Warning 22025: line length should not exceed 120 characters (OC)
2089
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1611): Warning 22025: line length should not exceed 120 characters (OC)
2090
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1613): Warning 22025: line length should not exceed 120 characters (OC)
2091
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1614): Warning 22025: line length should not exceed 120 characters (OC)
2092
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1618): Warning 22025: line length should not exceed 120 characters (OC)
2093
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1620): Warning 22025: line length should not exceed 120 characters (OC)
2094
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1625): Warning 22025: line length should not exceed 120 characters (OC)
2095
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1628): Warning 22025: line length should not exceed 120 characters (OC)
2096
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1629): Warning 22025: line length should not exceed 120 characters (OC)
2097
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1634): Warning 22025: line length should not exceed 120 characters (OC)
2098
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1638): Warning 22025: line length should not exceed 120 characters (OC)
2099
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(1646): Warning 22025: line length should not exceed 120 characters (OC)
2100
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(2384): Warning 22025: line length should not exceed 120 characters (OC)
2101
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2102
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(61): Warning 22025: line length should not exceed 120 characters (OC)
2103
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(67): Warning 22025: line length should not exceed 120 characters (OC)
2104
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(82): Warning 22025: line length should not exceed 120 characters (OC)
2105
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(88): Warning 22025: line length should not exceed 120 characters (OC)
2106
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(124): Warning 22025: line length should not exceed 120 characters (OC)
2107
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(60): Warning 22025: line length should not exceed 120 characters (OC)
2108
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2109
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(99): Warning 22025: line length should not exceed 120 characters (OC)
2110
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(70): Warning 22025: line length should not exceed 120 characters (OC)
2111
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(79): Warning 22025: line length should not exceed 120 characters (OC)
2112
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(182): Warning 22025: line length should not exceed 120 characters (OC)
2113
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(183): Warning 22025: line length should not exceed 120 characters (OC)
2114
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(64): Warning 22025: line length should not exceed 120 characters (OC)
2115
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(60): Warning 22025: line length should not exceed 120 characters (OC)
2116
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2117
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(75): Warning 22025: line length should not exceed 120 characters (OC)
2118
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(111): Warning 22025: line length should not exceed 120 characters (OC)
2119
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(117): Warning 22025: line length should not exceed 120 characters (OC)
2120
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(120): Warning 22025: line length should not exceed 120 characters (OC)
2121
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(135): Warning 22025: line length should not exceed 120 characters (OC)
2122
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(168): Warning 22025: line length should not exceed 120 characters (OC)
2123
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(213): Warning 22025: line length should not exceed 120 characters (OC)
2124
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(60): Warning 22025: line length should not exceed 120 characters (OC)
2125
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2126
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2127
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2128
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(267): Warning 22025: line length should not exceed 120 characters (OC)
2129
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(289): Warning 22025: line length should not exceed 120 characters (OC)
2130
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(81): Warning 22025: line length should not exceed 120 characters (OC)
2131
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(102): Warning 22025: line length should not exceed 120 characters (OC)
2132
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2133
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2134
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(81): Warning 22025: line length should not exceed 120 characters (OC)
2135
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2136
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2137
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(75): Warning 22025: line length should not exceed 120 characters (OC)
2138
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2139
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(84): Warning 22025: line length should not exceed 120 characters (OC)
2140
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(120): Warning 22025: line length should not exceed 120 characters (OC)
2141
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(258): Warning 22025: line length should not exceed 120 characters (OC)
2142
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(446): Warning 22025: line length should not exceed 120 characters (OC)
2143
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(448): Warning 22025: line length should not exceed 120 characters (OC)
2144
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(449): Warning 22025: line length should not exceed 120 characters (OC)
2145
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(460): Warning 22025: line length should not exceed 120 characters (OC)
2146
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(60): Warning 22025: line length should not exceed 120 characters (OC)
2147
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2148
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2149
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(169): Warning 22025: line length should not exceed 120 characters (OC)
2150
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(170): Warning 22025: line length should not exceed 120 characters (OC)
2151
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2152
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(72): Warning 22025: line length should not exceed 120 characters (OC)
2153
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(90): Warning 22025: line length should not exceed 120 characters (OC)
2154
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(229): Warning 22025: line length should not exceed 120 characters (OC)
2155
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(239): Warning 22025: line length should not exceed 120 characters (OC)
2156
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(267): Warning 22025: line length should not exceed 120 characters (OC)
2157
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(309): Warning 22025: line length should not exceed 120 characters (OC)
2158
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2159
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(73): Warning 22025: line length should not exceed 120 characters (OC)
2160
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(82): Warning 22025: line length should not exceed 120 characters (OC)
2161
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(63): Warning 22025: line length should not exceed 120 characters (OC)
2162
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2163
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2164
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2165
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2166
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2167
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(144): Warning 22025: line length should not exceed 120 characters (OC)
2168
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(60): Warning 22025: line length should not exceed 120 characters (OC)
2169
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2170
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(72): Warning 22025: line length should not exceed 120 characters (OC)
2171
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2172
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(84): Warning 22025: line length should not exceed 120 characters (OC)
2173
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(93): Warning 22025: line length should not exceed 120 characters (OC)
2174
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(346): Warning 22025: line length should not exceed 120 characters (OC)
2175
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(347): Warning 22025: line length should not exceed 120 characters (OC)
2176
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(364): Warning 22025: line length should not exceed 120 characters (OC)
2177
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(74): Warning 22025: line length should not exceed 120 characters (OC)
2178
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(89): Warning 22025: line length should not exceed 120 characters (OC)
2179
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(229): Warning 22025: line length should not exceed 120 characters (OC)
2180
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2181
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2182
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(146): Warning 22025: line length should not exceed 120 characters (OC)
2183
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(147): Warning 22025: line length should not exceed 120 characters (OC)
2184
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(48): Warning 22025: line length should not exceed 120 characters (OC)
2185
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(63): Warning 22025: line length should not exceed 120 characters (OC)
2186
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(58): Warning 22025: line length should not exceed 120 characters (OC)
2187
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(168): Warning 22025: line length should not exceed 120 characters (OC)
2188
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(169): Warning 22025: line length should not exceed 120 characters (OC)
2189
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2190
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(51): Warning 22025: line length should not exceed 120 characters (OC)
2191
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(57): Warning 22025: line length should not exceed 120 characters (OC)
2192
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(51): Warning 22025: line length should not exceed 120 characters (OC)
2193
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2194
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2195
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(47): Warning 22025: line length should not exceed 120 characters (OC)
2196
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(48): Warning 22025: line length should not exceed 120 characters (OC)
2197
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(51): Warning 22025: line length should not exceed 120 characters (OC)
2198
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(88): Warning 22025: line length should not exceed 120 characters (OC)
2199
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(85): Warning 22025: line length should not exceed 120 characters (OC)
2200
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(88): Warning 22025: line length should not exceed 120 characters (OC)
2201
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(85): Warning 22025: line length should not exceed 120 characters (OC)
2202
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(94): Warning 22025: line length should not exceed 120 characters (OC)
2203
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(91): Warning 22025: line length should not exceed 120 characters (OC)
2204
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(85): Warning 22025: line length should not exceed 120 characters (OC)
2205
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(85): Warning 22025: line length should not exceed 120 characters (OC)
2206
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(88): Warning 22025: line length should not exceed 120 characters (OC)
2207
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2208
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(69): Warning 22025: line length should not exceed 120 characters (OC)
2209
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(75): Warning 22025: line length should not exceed 120 characters (OC)
2210
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(90): Warning 22025: line length should not exceed 120 characters (OC)
2211
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(87): Warning 22025: line length should not exceed 120 characters (OC)
2212
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(99): Warning 22025: line length should not exceed 120 characters (OC)
2213
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(102): Warning 22025: line length should not exceed 120 characters (OC)
2214
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(117): Warning 22025: line length should not exceed 120 characters (OC)
2215
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(78): Warning 22025: line length should not exceed 120 characters (OC)
2216
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2217
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(66): Warning 22025: line length should not exceed 120 characters (OC)
2218
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(71): Warning 22025: line length should not exceed 120 characters (OC)
2219
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(86): Warning 22025: line length should not exceed 120 characters (OC)
2220
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2221
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_xcv_ram32x8d.v(48): Warning 22025: line length should not exceed 120 characters (OC)
2222
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_xcv_ram32x8d.v(54): Warning 22025: line length should not exceed 120 characters (OC)
2223
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(115): Warning 22029: should not use TAB for indentation (OC)
2224
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_amultp2_32x32.v(2387): Warning 22029: should not use TAB for indentation (OC)
2225
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(79): Warning 22029: should not use TAB for indentation (OC)
2226
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(152): Warning 22029: should not use TAB for indentation (OC)
2227
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(121): Warning 22029: should not use TAB for indentation (OC)
2228
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(114): Warning 22029: should not use TAB for indentation (OC)
2229
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(86): Warning 22029: should not use TAB for indentation (OC)
2230
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(82): Warning 22029: should not use TAB for indentation (OC)
2231
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(106): Warning 22029: should not use TAB for indentation (OC)
2232
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(86): Warning 22029: should not use TAB for indentation (OC)
2233
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(107): Warning 22029: should not use TAB for indentation (OC)
2234
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(124): Warning 22029: should not use TAB for indentation (OC)
2235
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(122): Warning 22029: should not use TAB for indentation (OC)
2236
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(154): Warning 22029: should not use TAB for indentation (OC)
2237
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(112): Warning 22029: should not use TAB for indentation (OC)
2238
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(109): Warning 22029: should not use TAB for indentation (OC)
2239
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(104): Warning 22029: should not use TAB for indentation (OC)
2240
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(116): Warning 22029: should not use TAB for indentation (OC)
2241
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(88): Warning 22029: should not use TAB for indentation (OC)
2242
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(88): Warning 22029: should not use TAB for indentation (OC)
2243
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(109): Warning 22029: should not use TAB for indentation (OC)
2244
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(88): Warning 22029: should not use TAB for indentation (OC)
2245
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(92): Warning 22029: should not use TAB for indentation (OC)
2246
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(122): Warning 22029: should not use TAB for indentation (OC)
2247
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(114): Warning 22029: should not use TAB for indentation (OC)
2248
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(89): Warning 22029: should not use TAB for indentation (OC)
2249
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(121): Warning 22029: should not use TAB for indentation (OC)
2250
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(74): Warning 22029: should not use TAB for indentation (OC)
2251
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(79): Warning 22029: should not use TAB for indentation (OC)
2252
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(79): Warning 22029: should not use TAB for indentation (OC)
2253
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(73): Warning 22029: should not use TAB for indentation (OC)
2254
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(81): Warning 22029: should not use TAB for indentation (OC)
2255
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(104): Warning 22029: should not use TAB for indentation (OC)
2256
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(94): Warning 22029: should not use TAB for indentation (OC)
2257
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(60): Warning 22029: should not use TAB for indentation (OC)
2258
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(61): Warning 22029: should not use TAB for indentation (OC)
2259
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(64): Warning 22029: should not use TAB for indentation (OC)
2260
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(111): Warning 22029: should not use TAB for indentation (OC)
2261
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(330): Warning 22029: should not use TAB for indentation (OC)
2262
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(108): Warning 22029: should not use TAB for indentation (OC)
2263
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(75): Warning 22029: should not use TAB for indentation (OC)
2264
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(111): Warning 22029: should not use TAB for indentation (OC)
2265
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(333): Warning 22029: should not use TAB for indentation (OC)
2266
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(108): Warning 22029: should not use TAB for indentation (OC)
2267
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(117): Warning 22029: should not use TAB for indentation (OC)
2268
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(79): Warning 22029: should not use TAB for indentation (OC)
2269
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(114): Warning 22029: should not use TAB for indentation (OC)
2270
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(108): Warning 22029: should not use TAB for indentation (OC)
2271
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(108): Warning 22029: should not use TAB for indentation (OC)
2272
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(111): Warning 22029: should not use TAB for indentation (OC)
2273
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(115): Warning 22029: should not use TAB for indentation (OC)
2274
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(145): Warning 22029: should not use TAB for indentation (OC)
2275
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(100): Warning 22029: should not use TAB for indentation (OC)
2276
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(88): Warning 22029: should not use TAB for indentation (OC)
2277
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(111): Warning 22029: should not use TAB for indentation (OC)
2278
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(76): Warning 22029: should not use TAB for indentation (OC)
2279
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_xcv_ram32x8d.v(108): Warning 22029: should not use TAB for indentation (OC)
2280
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(127): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2281
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(191): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2282
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(136): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2283
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(127): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2284
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(104): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2285
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(100): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2286
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(137): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2287
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(111): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2288
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(136): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2289
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(170): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2290
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(126): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2291
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(130): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2292
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(89): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2293
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(129): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2294
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(106): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2295
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(110): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2296
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(140): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2297
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(107): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2298
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(117): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2299
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(151): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2300
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(108): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2301
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(94): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2302
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(93): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2303
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(92): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2304
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(143): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2305
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(85): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2306
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(117): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2307
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(83): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2308
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(193): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2309
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(94): Warning 22031: ports should be declared one per line with a comment at the end (OC)
2310
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(141): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2311
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(202): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2312
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(222): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2313
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(242): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2314
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(258): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2315
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(273): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2316
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(145): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2317
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(167): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2318
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(150): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2319
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(183): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2320
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(139): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2321
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(154): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2322
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(172): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2323
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(144): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2324
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(164): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2325
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(168): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2326
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(170): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2327
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(179): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2328
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(185): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2329
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(194): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2330
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(203): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2331
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(213): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2332
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(217): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2333
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(143): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2334
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(140): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2335
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(153): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2336
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(153): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2337
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(184): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2338
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(125): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2339
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(127): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2340
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(129): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2341
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(143): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2342
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(170): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2343
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(188): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2344
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(168): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2345
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(115): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2346
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(130): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2347
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(112): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2348
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(104): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2349
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(95): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2350
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(177): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2351
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(209): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2352
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(138): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2353
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(96): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2354
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(103): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2355
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(106): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2356
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(149): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2357
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(152): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2358
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(169): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2359
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(192): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2360
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(224): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2361
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(247): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2362
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(253): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2363
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(272): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2364
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(122): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2365
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(165): Warning 22033: input, output and inout signals should be grouped in port declarations (OC)
2366
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(114): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2367
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(151): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2368
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(120): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2369
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(105): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2370
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(85): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2371
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(106): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2372
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(123): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2373
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(121): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2374
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(153): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2375
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(111): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2376
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(108): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2377
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(108): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2378
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(87): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2379
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(91): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2380
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(121): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2381
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(113): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2382
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(87): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2383
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(73): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2384
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(78): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2385
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(72): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2386
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(80): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2387
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(93): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2388
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(59): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2389
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(60): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2390
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(114): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2391
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(144): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2392
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(99): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2393
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(110): Warning 22035: ports should be declared in order of input, output, inout, buffer and linkage signal groups; and within each group, in order of clock, reset, set, enable, tri-enable and control signals. (OC)
2394
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(417): Information 22039: port instance order should be the same as port declaration order (OC)
2395
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(494): Information 22039: port instance order should be the same as port declaration order (OC)
2396
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(516): Information 22039: port instance order should be the same as port declaration order (OC)
2397
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(601): Information 22039: port instance order should be the same as port declaration order (OC)
2398
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(709): Information 22039: port instance order should be the same as port declaration order (OC)
2399
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(742): Information 22039: port instance order should be the same as port declaration order (OC)
2400
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(218): Information 22039: port instance order should be the same as port declaration order (OC)
2401
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(410): Information 22039: port instance order should be the same as port declaration order (OC)
2402
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(633): Information 22039: port instance order should be the same as port declaration order (OC)
2403
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(679): Information 22039: port instance order should be the same as port declaration order (OC)
2404
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(794): Information 22039: port instance order should be the same as port declaration order (OC)
2405
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(943): Information 22039: port instance order should be the same as port declaration order (OC)
2406
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(1030): Information 22039: port instance order should be the same as port declaration order (OC)
2407
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(251): Warning 22056: reset signal should not be driven by combinational logic (reset: "except_started" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(371)); combinational logic output: "except_started") (OC)
2408
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(371): Warning 22058: the set/reset signal should not be driven by a path with potential glitch (set/reset:"except_started"; path:"except_started" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(251))) (OC)
2409
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(101): Error 22061: object "dw" is declared but not used (OC)
2410
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(126): Error 22061: object "dw" is declared but not used (OC)
2411
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(141): Error 22061: object "oe_a" is declared but not used (OC)
2412
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(149): Error 22061: object "dcpu_cycstb_i" is declared but not used (OC)
2413
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(150): Error 22061: object "dcpu_we_i" is declared but not used (OC)
2414
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(151): Error 22061: object "dcpu_adr_i" is declared but not used (OC)
2415
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(152): Error 22061: object "dcpu_dat_lsu" is declared but not used (OC)
2416
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(153): Error 22061: object "dcpu_dat_dc" is declared but not used (OC)
2417
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(154): Error 22061: object "icpu_cycstb_i" is declared but not used (OC)
2418
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(158): Error 22061: object "id_pc" is declared but not used (OC)
2419
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(180): Error 22061: object "dbg_ewt_i" is declared but not used (OC)
2420
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(442): Error 22061: object "dmr2_sel" is declared but not used (OC)
2421
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(445): Error 22061: object "dvr0_sel" is declared but not used (OC)
2422
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(446): Error 22061: object "dvr1_sel" is declared but not used (OC)
2423
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(447): Error 22061: object "dvr2_sel" is declared but not used (OC)
2424
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(448): Error 22061: object "dvr3_sel" is declared but not used (OC)
2425
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(449): Error 22061: object "dvr4_sel" is declared but not used (OC)
2426
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(450): Error 22061: object "dvr5_sel" is declared but not used (OC)
2427
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(451): Error 22061: object "dvr6_sel" is declared but not used (OC)
2428
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(452): Error 22061: object "dvr7_sel" is declared but not used (OC)
2429
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(453): Error 22061: object "dcr0_sel" is declared but not used (OC)
2430
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(454): Error 22061: object "dcr1_sel" is declared but not used (OC)
2431
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(455): Error 22061: object "dcr2_sel" is declared but not used (OC)
2432
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(456): Error 22061: object "dcr3_sel" is declared but not used (OC)
2433
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(457): Error 22061: object "dcr4_sel" is declared but not used (OC)
2434
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(458): Error 22061: object "dcr5_sel" is declared but not used (OC)
2435
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(459): Error 22061: object "dcr6_sel" is declared but not used (OC)
2436
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(460): Error 22061: object "dcr7_sel" is declared but not used (OC)
2437
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(461): Error 22061: object "dwcr0_sel" is declared but not used (OC)
2438
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(462): Error 22061: object "dwcr1_sel" is declared but not used (OC)
2439
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(219): Error 22061: object "dcpu_ack_i" is declared but not used (OC)
2440
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(220): Error 22061: object "dcpu_err_i" is declared but not used (OC)
2441
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(159): Error 22061: object "genpc_stop_prefetch" is declared but not used (OC)
2442
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(107): Error 22061: object "dw" is declared but not used (OC)
2443
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(141): Error 22061: object "dw" is declared but not used (OC)
2444
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(157): Error 22061: object "ic_en" is declared but not used (OC)
2445
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(149): Error 22061: object "wb_rty_i" is declared but not used (OC)
2446
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(99): Error 22061: object "aw" is declared but not used (OC)
2447
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(79): Error 22061: object "clk" is declared but not used (OC)
2448
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(80): Error 22061: object "rst" is declared but not used (OC)
2449
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(140): Error 22061: object "oe" is declared but not used (OC)
2450
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(104): Error 22061: object "oe" is declared but not used (OC)
2451
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(137): Error 22061: object "oe" is declared but not used (OC)
2452
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(104): Error 22061: object "oe" is declared but not used (OC)
2453
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(140): Error 22061: object "oe" is declared but not used (OC)
2454
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(107): Error 22061: object "oe" is declared but not used (OC)
2455
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(137): Error 22061: object "oe" is declared but not used (OC)
2456
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(146): Error 22061: object "oe" is declared but not used (OC)
2457
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(108): Error 22061: object "oe" is declared but not used (OC)
2458
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(143): Error 22061: object "oe" is declared but not used (OC)
2459
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(137): Error 22061: object "oe" is declared but not used (OC)
2460
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(137): Error 22061: object "oe" is declared but not used (OC)
2461
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(140): Error 22061: object "oe" is declared but not used (OC)
2462
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(325): Error 22061: object "icbiu_tag_ic" is declared but not used (OC)
2463
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(330): Error 22061: object "icbiu_tag_biu" is declared but not used (OC)
2464
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(118): Error 22061: object "oe_a" is declared but not used (OC)
2465
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(126): Error 22061: object "oe_b" is declared but not used (OC)
2466
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(146): Error 22061: object "wb_rty_i" is declared but not used (OC)
2467
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(200): Warning 22063: FSM should be described with two processes (OC)
2468
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(408): Warning 22063: FSM should be described with two processes (OC)
2469
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(189): Warning 22063: FSM should be described with two processes (OC)
2470
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(306): Warning 22063: FSM should be described with two processes (OC)
2471
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(101): Error 22089: logical operators should operate on one-bit operands rather than vectors ("spr_addr[31:4]") (OC)
2472
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(400): Error 22089: logical operators should operate on one-bit operands rather than vectors ("state") (OC)
2473
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(198): Warning 22091: condition expression is wider than single-bit (OC)
2474
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(200): Warning 22091: condition expression is wider than single-bit (OC)
2475
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(125): Warning 22149: input port "if_insn[20:16]" and output port "rf_addra" should not be connected directly (OC)
2476
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(125): Warning 22149: input port "if_insn[15:11]" and output port "rf_addrb" should not be connected directly (OC)
2477
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(125): Warning 22149: input port "if_insn[31]" and output port "rf_rda" should not be connected directly (OC)
2478
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(125): Warning 22149: input port "if_insn[30]" and output port "rf_rdb" should not be connected directly (OC)
2479
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(116): Warning 22149: input port "dcqmem_dat_i" and output port "dcsb_dat_o" should not be connected directly (OC)
2480
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(128): Warning 22149: input port "du_dat_i" and output port "dbg_dat_o" should not be connected directly (OC)
2481
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(133): Warning 22149: input port "dbg_stall_i" and output port "du_stall" should not be connected directly (OC)
2482
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(134): Warning 22149: input port "dbg_adr_i" and output port "du_addr" should not be connected directly (OC)
2483
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(134): Warning 22149: input port "dbg_dat_i" and output port "du_dat_o" should not be connected directly (OC)
2484
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(90): Warning 22149: input port "lsu_op[3]" and output port "dcpu_we_o" should not be connected directly (OC)
2485
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(90): Warning 22149: input port "lsu_datain[7:0]" and output port "dcpu_dat_o[7:0]" should not be connected directly (OC)
2486
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(95): Warning 22149: input port "dcpu_ack_i" and output port "lsu_unstall" should not be connected directly (OC)
2487
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(74): Warning 22149: input port "pic_wakeup" and output port "pm_wakeup" should not be connected directly (OC)
2488
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(78): Warning 22149: input port "regdata[7:0]" and output port "memdata[7:0]" should not be connected directly (OC)
2489
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_dat_i" and output port "sbbiu_dat_o" should not be connected directly (OC)
2490
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_adr_i" and output port "sbbiu_adr_o" should not be connected directly (OC)
2491
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_cyc_i" and output port "sbbiu_cyc_o" should not be connected directly (OC)
2492
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_stb_i" and output port "sbbiu_stb_o" should not be connected directly (OC)
2493
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_we_i" and output port "sbbiu_we_o" should not be connected directly (OC)
2494
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_sel_i" and output port "sbbiu_sel_o" should not be connected directly (OC)
2495
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(65): Warning 22149: input port "dcsb_cab_i" and output port "sbbiu_cab_o" should not be connected directly (OC)
2496
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(70): Warning 22149: input port "sbbiu_dat_i" and output port "dcsb_dat_o" should not be connected directly (OC)
2497
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(70): Warning 22149: input port "sbbiu_ack_i" and output port "dcsb_ack_o" should not be connected directly (OC)
2498
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(70): Warning 22149: input port "sbbiu_err_i" and output port "dcsb_err_o" should not be connected directly (OC)
2499
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(115): Warning 22149: input port "wb_dat_i" and output port "biu_dat_o" should not be connected directly (OC)
2500
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(59): Warning 22153: more than one top module detected, top modules: "or1200_rfram_generic, or1200_sb_fifo, ..." (OC)
2501
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(157): Information 22165: all drivers to signal "(12 - 4)" are detected as constants (OC)
2502
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(158): Information 22165: all drivers to signal "(4 - 4)" are detected as constants (OC)
2503
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(170): Information 22165: all drivers to signal "(12 - 4)" are detected as constants (OC)
2504
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(171): Information 22165: all drivers to signal "(4 - 4)" are detected as constants (OC)
2505
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(227): Information 22165: all drivers to signal "force_dslot_fetch" are detected as constants (OC)
2506
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(252): Information 22165: all drivers to signal "(4 - 2)" are detected as constants (OC)
2507
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(197): Information 22165: all drivers to signal "dbg_lss_o" are detected as constants (OC)
2508
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(216): Information 22165: all drivers to signal "dbg_wp_o" are detected as constants (OC)
2509
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(674): Information 22165: all drivers to signal "dmr2" are detected as constants (OC)
2510
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(715): Information 22165: all drivers to signal "dvr0" are detected as constants (OC)
2511
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(728): Information 22165: all drivers to signal "dvr1" are detected as constants (OC)
2512
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(741): Information 22165: all drivers to signal "dvr2" are detected as constants (OC)
2513
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(754): Information 22165: all drivers to signal "dvr3" are detected as constants (OC)
2514
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(767): Information 22165: all drivers to signal "dvr4" are detected as constants (OC)
2515
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(780): Information 22165: all drivers to signal "dvr5" are detected as constants (OC)
2516
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(793): Information 22165: all drivers to signal "dvr6" are detected as constants (OC)
2517
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(806): Information 22165: all drivers to signal "dvr7" are detected as constants (OC)
2518
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(819): Information 22165: all drivers to signal "dcr0" are detected as constants (OC)
2519
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(832): Information 22165: all drivers to signal "dcr1" are detected as constants (OC)
2520
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(845): Information 22165: all drivers to signal "dcr2" are detected as constants (OC)
2521
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(858): Information 22165: all drivers to signal "dcr3" are detected as constants (OC)
2522
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(871): Information 22165: all drivers to signal "dcr4" are detected as constants (OC)
2523
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(884): Information 22165: all drivers to signal "dcr5" are detected as constants (OC)
2524
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(897): Information 22165: all drivers to signal "dcr6" are detected as constants (OC)
2525
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(910): Information 22165: all drivers to signal "dcr7" are detected as constants (OC)
2526
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(925): Information 22165: all drivers to signal "dwcr0" are detected as constants (OC)
2527
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(940): Information 22165: all drivers to signal "dwcr1" are detected as constants (OC)
2528
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1662): Information 22165: all drivers to signal "du_hwbkpt" are detected as constants (OC)
2529
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(184): Information 22165: all drivers to signal "icpu_sel_o" are detected as constants (OC)
2530
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(185): Information 22165: all drivers to signal "icpu_tag_o" are detected as constants (OC)
2531
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(231): Information 22165: all drivers to signal "(4 - 2)" are detected as constants (OC)
2532
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(235): Information 22165: all drivers to signal "icbiu_dat_o" are detected as constants (OC)
2533
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(242): Information 22165: all drivers to signal "icbiu_we_o" are detected as constants (OC)
2534
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(357): Information 22165: all drivers to signal "qmemimmu_ci_o" are detected as constants (OC)
2535
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(369): Information 22165: all drivers to signal "retry" are detected as constants (OC)
2536
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(179): Information 22165: all drivers to signal "alu_op_div" are detected as constants (OC)
2537
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(180): Information 22165: all drivers to signal "alu_op_div_divu" are detected as constants (OC)
2538
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(158): Information 22165: all drivers to signal "spr_dat_o[31:7]" are detected as constants (OC)
2539
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(236): Information 22165: all drivers to signal "qmem_ack" are detected as constants (OC)
2540
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(105): Information 22165: all drivers to signal "(fl - 1)" are detected as constants (OC)
2541
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(115): Information 22165: all drivers to signal "(fl - 1)" are detected as constants (OC)
2542
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(124): Information 22165: all drivers to signal "(fl - 1)" are detected as constants (OC)
2543
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(128): Information 22165: all drivers to signal "(fl - 1)" are detected as constants (OC)
2544
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(141): Information 22165: all drivers to signal "(fl - 1)" are detected as constants (OC)
2545
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(331): Information 22165: all drivers to signal "retry" are detected as constants (OC)
2546
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(369): Warning 22176: asynchronous set should not be used as synchronous set (asynchronous set: "rst" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(229)); synchronous set: "rst") (OC)
2547
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(226): Warning 22181: more than one clock signal detected in the module, clocks: "wb_clk_i (226), clk (246)" (OC)
2548
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(500): Warning 22181: more than one clock signal detected in the module, clocks: "iwb_clk_i (500), clk_i (495), dwb_clk_i (543)" (OC)
2549
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(218): Warning 22181: more than one clock signal detected in the module, clocks: "wb_clk_i (218), clk (258)" (OC)
2550
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(184): Warning 22211: signal "icpu_sel_o" detected stuck at logic 1 (OC)
2551
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(236): Warning 22211: signal "qmem_ack" detected stuck at logic 1 (OC)
2552
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(227): Warning 22213: signal "force_dslot_fetch" detected stuck at logic 0 (OC)
2553
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(197): Warning 22213: signal "dbg_lss_o" detected stuck at logic 0 (OC)
2554
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(216): Warning 22213: signal "dbg_wp_o" detected stuck at logic 0 (OC)
2555
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(674): Warning 22213: signal "dmr2" detected stuck at logic 0 (OC)
2556
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(715): Warning 22213: signal "dvr0" detected stuck at logic 0 (OC)
2557
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(728): Warning 22213: signal "dvr1" detected stuck at logic 0 (OC)
2558
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(741): Warning 22213: signal "dvr2" detected stuck at logic 0 (OC)
2559
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(754): Warning 22213: signal "dvr3" detected stuck at logic 0 (OC)
2560
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(767): Warning 22213: signal "dvr4" detected stuck at logic 0 (OC)
2561
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(780): Warning 22213: signal "dvr5" detected stuck at logic 0 (OC)
2562
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(793): Warning 22213: signal "dvr6" detected stuck at logic 0 (OC)
2563
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(806): Warning 22213: signal "dvr7" detected stuck at logic 0 (OC)
2564
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(819): Warning 22213: signal "dcr0" detected stuck at logic 0 (OC)
2565
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(832): Warning 22213: signal "dcr1" detected stuck at logic 0 (OC)
2566
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(845): Warning 22213: signal "dcr2" detected stuck at logic 0 (OC)
2567
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(858): Warning 22213: signal "dcr3" detected stuck at logic 0 (OC)
2568
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(871): Warning 22213: signal "dcr4" detected stuck at logic 0 (OC)
2569
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(884): Warning 22213: signal "dcr5" detected stuck at logic 0 (OC)
2570
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(897): Warning 22213: signal "dcr6" detected stuck at logic 0 (OC)
2571
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(910): Warning 22213: signal "dcr7" detected stuck at logic 0 (OC)
2572
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(925): Warning 22213: signal "dwcr0" detected stuck at logic 0 (OC)
2573
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(940): Warning 22213: signal "dwcr1" detected stuck at logic 0 (OC)
2574
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1662): Warning 22213: signal "du_hwbkpt" detected stuck at logic 0 (OC)
2575
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(235): Warning 22213: signal "icbiu_dat_o" detected stuck at logic 0 (OC)
2576
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(242): Warning 22213: signal "icbiu_we_o" detected stuck at logic 0 (OC)
2577
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(357): Warning 22213: signal "qmemimmu_ci_o" detected stuck at logic 0 (OC)
2578
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(369): Warning 22213: signal "retry" detected stuck at logic 0 (OC)
2579
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(179): Warning 22213: signal "alu_op_div" detected stuck at logic 0 (OC)
2580
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(180): Warning 22213: signal "alu_op_div_divu" detected stuck at logic 0 (OC)
2581
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(331): Warning 22213: signal "retry" detected stuck at logic 0 (OC)
2582
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(305): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always45#Always3:305:320:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2583
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(477): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always66#Always10:477:490:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2584
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(650): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always91#Always14:650:742:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2585
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(650): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always89#Always14:650:742:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2586
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(650): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always87#Always14:650:742:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2587
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(650): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always85#Always14:650:742:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2588
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(947): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_ctrl.or1200_ctrl:Always123#Always20:947:993:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2589
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(156): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_if.or1200_if:Always17#Always0:156:168:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2590
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_if.v(156): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_if.or1200_if:Always17#Always0:156:168:Reg" and register "or1200_top.or1200_cpu.or1200_except.or1200_except:Always42#Always0:297:310:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(297)) (OC)
2591
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(120): Warning 22271: snake path detected between register "or1200_top.or1200_cpu.or1200_operandmuxes.or1200_operandmuxes:Always4#Always0:120:131:Reg" and register "or1200_top.or1200_cpu.or1200_freeze.or1200_freeze:Always11#Always0:178:189:Reg" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(178)) (OC)
2592
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(265): Warning 23003: latch inferred on signal "result_cust5" (OC)
2593
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(265): Warning 23007: incompletely specified case statement detected (OC)
2594
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(391): Warning 23008: all cases are covered but no default label found (OC)
2595
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(261): Warning 23008: all cases are covered but no default label found (OC)
2596
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(138): Warning 23008: all cases are covered but no default label found (OC)
2597
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(205): Error 23011: signal "result_cust5" should be included in the sensitivity list (OC)
2598
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(215): Error 23011: signal "result_csum" should be included in the sensitivity list (OC)
2599
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(288): Warning 23013: signal "result_sum" should not be included in the sensitivity list (OC)
2600
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(288): Warning 23013: signal "result_and" should not be included in the sensitivity list (OC)
2601
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(947): Warning 23013: signal "dmr2" should not be included in the sensitivity list (OC)
2602
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(948): Warning 23013: signal "dvr0" should not be included in the sensitivity list (OC)
2603
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(948): Warning 23013: signal "dvr1" should not be included in the sensitivity list (OC)
2604
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(948): Warning 23013: signal "dvr2" should not be included in the sensitivity list (OC)
2605
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(948): Warning 23013: signal "dvr3" should not be included in the sensitivity list (OC)
2606
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(948): Warning 23013: signal "dvr4" should not be included in the sensitivity list (OC)
2607
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(949): Warning 23013: signal "dvr5" should not be included in the sensitivity list (OC)
2608
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(949): Warning 23013: signal "dvr6" should not be included in the sensitivity list (OC)
2609
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(949): Warning 23013: signal "dvr7" should not be included in the sensitivity list (OC)
2610
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(950): Warning 23013: signal "dcr0" should not be included in the sensitivity list (OC)
2611
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(950): Warning 23013: signal "dcr1" should not be included in the sensitivity list (OC)
2612
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(950): Warning 23013: signal "dcr2" should not be included in the sensitivity list (OC)
2613
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(950): Warning 23013: signal "dcr3" should not be included in the sensitivity list (OC)
2614
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(950): Warning 23013: signal "dcr4" should not be included in the sensitivity list (OC)
2615
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(951): Warning 23013: signal "dcr5" should not be included in the sensitivity list (OC)
2616
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(951): Warning 23013: signal "dcr6" should not be included in the sensitivity list (OC)
2617
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(951): Warning 23013: signal "dcr7" should not be included in the sensitivity list (OC)
2618
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(952): Warning 23013: signal "dwcr0" should not be included in the sensitivity list (OC)
2619
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(952): Warning 23013: signal "dwcr1" should not be included in the sensitivity list (OC)
2620
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(189): Warning 23013: signal "a" should not be included in the sensitivity list (OC)
2621
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(189): Warning 23013: signal "b" should not be included in the sensitivity list (OC)
2622
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(179): Warning 23030: signal "result_csum" should not be assigned and referenced under same condition in different combinational blocks (at line 215) (OC)
2623
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(263): Warning 23044: "latch enable" port should not be connect to an expression "GEN8_result_cust5" (OC)
2624
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(311): Error 23121: register "pcreg" should have a set or reset signal (OC)
2625
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(112): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_1024x8.oe" floating through wire "or1200_spram_1024x8.oe" (OC)
2626
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(79): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_128x32.oe" floating through wire "or1200_spram_128x32.oe" (OC)
2627
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(88): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_2048x32_bw.oe" floating through wire "or1200_spram_2048x32_bw.oe" (OC)
2628
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(112): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_2048x8.oe" floating through wire "or1200_spram_2048x8.oe" (OC)
2629
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(83): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_32x24.oe" floating through wire "or1200_spram_32x24.oe" (OC)
2630
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(118): Warning 23409: output pin "oe" ("oe") of "PI or1200_spram_512x20.oe" floating through wire "or1200_spram_512x20.oe" (OC)
2631
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(101): Warning 23409: output pin "oe_a" ("oe_a") of "PI or1200_tpram_32x32.oe_a" floating through wire "or1200_tpram_32x32.oe_a" (OC)
2632
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(102): Warning 23409: output pin "oe_b" ("oe_b") of "PI or1200_tpram_32x32.oe_b" floating through wire "or1200_tpram_32x32.oe_b" (OC)
2633
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(122): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2634
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(181): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2635
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(98): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2636
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(94): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2637
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(128): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2638
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(101): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2639
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(126): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2640
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(132): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2641
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(137): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2642
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(100): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2643
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(100): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2644
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(131): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2645
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(107): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2646
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(141): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2647
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(132): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2648
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(98): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2649
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(89): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2650
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(84): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2651
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(87): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2652
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(134): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2653
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(80): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2654
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(107): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2655
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(73): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2656
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(73): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2657
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(67): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2658
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(121): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2659
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(118): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2660
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(85): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2661
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(121): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2662
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(118): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2663
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(127): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2664
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(89): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2665
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(124): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2666
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(118): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2667
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(118): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2668
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(121): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2669
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(135): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2670
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(182): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2671
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(108): Warning 24017: synopsys template directive should be used before parameter "aw" (OC)
2672
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(129): Warning 24017: synopsys template directive should be used before parameter "dw" (OC)
2673
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(85): Warning 24017: synopsys template directive should be used before parameter "width" (OC)
2674
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(103): Warning 24021: define statements should be put into one file (OC)
2675
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(264): Warning 24021: define statements should be put into one file (OC)
2676
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(141): Warning 24021: define statements should be put into one file (OC)
2677
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(102): Warning 24021: define statements should be put into one file (OC)
2678
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(84): Warning 24021: define statements should be put into one file (OC)
2679
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(106): Warning 24021: define statements should be put into one file (OC)
2680
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(105): Warning 24021: define statements should be put into one file (OC)
2681
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(72): Warning 24021: define statements should be put into one file (OC)
2682
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(196): Warning 25003: signal "dcpu_vpn_r" has no load (OC)
2683
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(141): Warning 25003: signal "oe_a" has no load (OC)
2684
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(149): Warning 25003: signal "dcpu_cycstb_i" has no load (OC)
2685
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(150): Warning 25003: signal "dcpu_we_i" has no load (OC)
2686
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(151): Warning 25003: signal "dcpu_adr_i" has no load (OC)
2687
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(152): Warning 25003: signal "dcpu_dat_lsu" has no load (OC)
2688
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(153): Warning 25003: signal "dcpu_dat_dc" has no load (OC)
2689
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(154): Warning 25003: signal "icpu_cycstb_i" has no load (OC)
2690
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(158): Warning 25003: signal "id_pc" has no load (OC)
2691
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(180): Warning 25003: signal "dbg_ewt_i" has no load (OC)
2692
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(255): Warning 25003: signal "dmr2" has no load (OC)
2693
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(282): Warning 25003: signal "dvr0" has no load (OC)
2694
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(291): Warning 25003: signal "dvr1" has no load (OC)
2695
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(300): Warning 25003: signal "dvr2" has no load (OC)
2696
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(309): Warning 25003: signal "dvr3" has no load (OC)
2697
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(318): Warning 25003: signal "dvr4" has no load (OC)
2698
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(327): Warning 25003: signal "dvr5" has no load (OC)
2699
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(336): Warning 25003: signal "dvr6" has no load (OC)
2700
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(345): Warning 25003: signal "dvr7" has no load (OC)
2701
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(354): Warning 25003: signal "dcr0" has no load (OC)
2702
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(363): Warning 25003: signal "dcr1" has no load (OC)
2703
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(372): Warning 25003: signal "dcr2" has no load (OC)
2704
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(381): Warning 25003: signal "dcr3" has no load (OC)
2705
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(390): Warning 25003: signal "dcr4" has no load (OC)
2706
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(399): Warning 25003: signal "dcr5" has no load (OC)
2707
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(408): Warning 25003: signal "dcr6" has no load (OC)
2708
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(417): Warning 25003: signal "dcr7" has no load (OC)
2709
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(426): Warning 25003: signal "dwcr0" has no load (OC)
2710
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(435): Warning 25003: signal "dwcr1" has no load (OC)
2711
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(219): Warning 25003: signal "dcpu_ack_i" has no load (OC)
2712
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(220): Warning 25003: signal "dcpu_err_i" has no load (OC)
2713
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(159): Warning 25003: signal "genpc_stop_prefetch" has no load (OC)
2714
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(169): Warning 25003: signal "genpc_refetch_r" has no load (OC)
2715
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(157): Warning 25003: signal "ic_en" has no load (OC)
2716
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(149): Warning 25003: signal "wb_rty_i" has no load (OC)
2717
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(147): Warning 25003: signal "alu_op_div_divu" has no load (OC)
2718
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(79): Warning 25003: signal "clk" has no load (OC)
2719
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(80): Warning 25003: signal "rst" has no load (OC)
2720
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(140): Warning 25003: signal "oe" has no load (OC)
2721
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(104): Warning 25003: signal "oe" has no load (OC)
2722
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(137): Warning 25003: signal "oe" has no load (OC)
2723
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(104): Warning 25003: signal "oe" has no load (OC)
2724
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(140): Warning 25003: signal "oe" has no load (OC)
2725
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(107): Warning 25003: signal "oe" has no load (OC)
2726
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(137): Warning 25003: signal "oe" has no load (OC)
2727
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(146): Warning 25003: signal "oe" has no load (OC)
2728
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(108): Warning 25003: signal "oe" has no load (OC)
2729
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(143): Warning 25003: signal "oe" has no load (OC)
2730
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(137): Warning 25003: signal "oe" has no load (OC)
2731
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(137): Warning 25003: signal "oe" has no load (OC)
2732
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(140): Warning 25003: signal "oe" has no load (OC)
2733
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(118): Warning 25003: signal "oe_a" has no load (OC)
2734
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(126): Warning 25003: signal "oe_b" has no load (OC)
2735
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(146): Warning 25003: signal "wb_rty_i" has no load (OC)
2736
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(185): Error 25005: signal "spr_dat_i[3:0]" has never been referenced (OC)
2737
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(185): Error 25005: signal "spr_dat_i[31:12]" has never been referenced (OC)
2738
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(196): Error 25005: signal "dcpu_vpn_r" has never been referenced (OC)
2739
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(149): Error 25005: signal "dcpu_cycstb_i" has never been referenced (OC)
2740
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(150): Error 25005: signal "dcpu_we_i" has never been referenced (OC)
2741
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(151): Error 25005: signal "dcpu_adr_i" has never been referenced (OC)
2742
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(152): Error 25005: signal "dcpu_dat_lsu" has never been referenced (OC)
2743
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(153): Error 25005: signal "dcpu_dat_dc" has never been referenced (OC)
2744
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(154): Error 25005: signal "icpu_cycstb_i" has never been referenced (OC)
2745
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(157): Error 25005: signal "ex_insn[15:0]" has never been referenced (OC)
2746
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(157): Error 25005: signal "ex_insn[25:17]" has never been referenced (OC)
2747
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(158): Error 25005: signal "id_pc" has never been referenced (OC)
2748
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(159): Error 25005: signal "spr_dat_npc" has never been referenced (OC)
2749
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(160): Error 25005: signal "rf_dataw" has never been referenced (OC)
2750
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(173): Error 25005: signal "spr_dat_i[21:14]" has never been referenced (OC)
2751
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(173): Error 25005: signal "spr_dat_i[31:24]" has never been referenced (OC)
2752
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(180): Error 25005: signal "dbg_ewt_i" has never been referenced (OC)
2753
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(442): Error 25005: signal "dmr2_sel" has never been assigned (OC)
2754
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(442): Error 25005: signal "dmr2_sel" has never been referenced (OC)
2755
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(445): Error 25005: signal "dvr0_sel" has never been assigned (OC)
2756
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(445): Error 25005: signal "dvr0_sel" has never been referenced (OC)
2757
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(446): Error 25005: signal "dvr1_sel" has never been assigned (OC)
2758
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(446): Error 25005: signal "dvr1_sel" has never been referenced (OC)
2759
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(447): Error 25005: signal "dvr2_sel" has never been assigned (OC)
2760
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(447): Error 25005: signal "dvr2_sel" has never been referenced (OC)
2761
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(448): Error 25005: signal "dvr3_sel" has never been assigned (OC)
2762
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(448): Error 25005: signal "dvr3_sel" has never been referenced (OC)
2763
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(449): Error 25005: signal "dvr4_sel" has never been assigned (OC)
2764
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(449): Error 25005: signal "dvr4_sel" has never been referenced (OC)
2765
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(450): Error 25005: signal "dvr5_sel" has never been assigned (OC)
2766
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(450): Error 25005: signal "dvr5_sel" has never been referenced (OC)
2767
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(451): Error 25005: signal "dvr6_sel" has never been assigned (OC)
2768
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(451): Error 25005: signal "dvr6_sel" has never been referenced (OC)
2769
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(452): Error 25005: signal "dvr7_sel" has never been assigned (OC)
2770
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(452): Error 25005: signal "dvr7_sel" has never been referenced (OC)
2771
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(453): Error 25005: signal "dcr0_sel" has never been assigned (OC)
2772
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(453): Error 25005: signal "dcr0_sel" has never been referenced (OC)
2773
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(454): Error 25005: signal "dcr1_sel" has never been assigned (OC)
2774
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(454): Error 25005: signal "dcr1_sel" has never been referenced (OC)
2775
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(455): Error 25005: signal "dcr2_sel" has never been assigned (OC)
2776
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(455): Error 25005: signal "dcr2_sel" has never been referenced (OC)
2777
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(456): Error 25005: signal "dcr3_sel" has never been assigned (OC)
2778
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(456): Error 25005: signal "dcr3_sel" has never been referenced (OC)
2779
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(457): Error 25005: signal "dcr4_sel" has never been assigned (OC)
2780
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(457): Error 25005: signal "dcr4_sel" has never been referenced (OC)
2781
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(458): Error 25005: signal "dcr5_sel" has never been assigned (OC)
2782
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(458): Error 25005: signal "dcr5_sel" has never been referenced (OC)
2783
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(459): Error 25005: signal "dcr6_sel" has never been assigned (OC)
2784
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(459): Error 25005: signal "dcr6_sel" has never been referenced (OC)
2785
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(460): Error 25005: signal "dcr7_sel" has never been assigned (OC)
2786
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(460): Error 25005: signal "dcr7_sel" has never been referenced (OC)
2787
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(461): Error 25005: signal "dwcr0_sel" has never been assigned (OC)
2788
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(461): Error 25005: signal "dwcr0_sel" has never been referenced (OC)
2789
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(462): Error 25005: signal "dwcr1_sel" has never been assigned (OC)
2790
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(462): Error 25005: signal "dwcr1_sel" has never been referenced (OC)
2791
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(505): Error 25005: signal "tbia_dat_o" has never been assigned (OC)
2792
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(506): Error 25005: signal "tbim_dat_o" has never been assigned (OC)
2793
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(507): Error 25005: signal "tbar_dat_o" has never been assigned (OC)
2794
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(508): Error 25005: signal "tbts_dat_o" has never been assigned (OC)
2795
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(195): Error 25005: signal "du_dsr[0]" has never been referenced (OC)
2796
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(195): Error 25005: signal "du_dsr[12]" has never been referenced (OC)
2797
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(219): Error 25005: signal "dcpu_ack_i" has never been referenced (OC)
2798
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(220): Error 25005: signal "dcpu_err_i" has never been referenced (OC)
2799
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(236): Error 25005: signal "extend_flush_last" has never been referenced (OC)
2800
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(244): Error 25005: signal "delayed_tee[2]" has never been referenced (OC)
2801
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(159): Error 25005: signal "genpc_stop_prefetch" has never been referenced (OC)
2802
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(169): Error 25005: signal "genpc_refetch_r" has never been referenced (OC)
2803
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(186): Error 25005: signal "spr_dat_i[3:0]" has never been referenced (OC)
2804
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(186): Error 25005: signal "spr_dat_i[31:12]" has never been referenced (OC)
2805
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(157): Error 25005: signal "ic_en" has never been referenced (OC)
2806
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(205): Error 25005: signal "itlb_ci" has never been referenced (OC)
2807
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(140): Error 25005: signal "clmode[1]" has never been referenced (OC)
2808
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(149): Error 25005: signal "wb_rty_i" has never been referenced (OC)
2809
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(114): Error 25005: signal "spr_addr[31:1]" has never been referenced (OC)
2810
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(147): Error 25005: signal "alu_op_div_divu" has never been referenced (OC)
2811
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(95): Error 25005: signal "spr_dat_i[31:20]" has never been referenced (OC)
2812
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(88): Error 25005: signal "spr_addr[10:0]" has never been referenced (OC)
2813
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(88): Error 25005: signal "spr_addr[31:16]" has never been referenced (OC)
2814
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pm.v(89): Error 25005: signal "spr_dat_i[31:7]" has never been referenced (OC)
2815
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(232): Error 25005: signal "qmem_addr[1:0]" has never been referenced (OC)
2816
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(232): Error 25005: signal "qmem_addr[31:13]" has never been referenced (OC)
2817
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(146): Error 25005: signal "spr_addr[31:11]" has never been referenced (OC)
2818
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(137): Error 25005: signal "clmode[1]" has never been referenced (OC)
2819
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(146): Error 25005: signal "wb_rty_i" has never been referenced (OC)
2820
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(203): Warning 25009: the fan out number of signal "read_spr" is 288 (should not exceed 50) (OC)
2821
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(64): Warning 25015: the output "do_a" is not registered (OC)
2822
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(67): Warning 25015: the output "do_b" is not registered (OC)
2823
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(64): Warning 25015: the output "dat_o" is not registered (OC)
2824
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(112): Warning 25015: the output "do" is not registered (OC)
2825
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(79): Warning 25015: the output "do" is not registered (OC)
2826
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(88): Warning 25015: the output "do" is not registered (OC)
2827
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(112): Warning 25015: the output "do" is not registered (OC)
2828
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(83): Warning 25015: the output "do" is not registered (OC)
2829
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(118): Warning 25015: the output "do" is not registered (OC)
2830
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(168): Warning 25015: the output "dbg_lss_o" is not registered (OC)
2831
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(168): Warning 25015: the output "dbg_wp_o" is not registered (OC)
2832
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25015: the output "dbg_dat_o" is not registered (OC)
2833
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177): Warning 25015: the output "pm_dc_gate_o" is not registered (OC)
2834
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177): Warning 25015: the output "pm_ic_gate_o" is not registered (OC)
2835
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177): Warning 25015: the output "pm_dmmu_gate_o" is not registered (OC)
2836
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178): Warning 25015: the output "pm_immu_gate_o" is not registered (OC)
2837
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178): Warning 25015: the output "pm_tt_gate_o" is not registered (OC)
2838
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178): Warning 25015: the output "pm_cpu_gate_o" is not registered (OC)
2839
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178): Warning 25015: the output "pm_wakeup_o" is not registered (OC)
2840
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178): Warning 25015: the output "pm_lvolt_o" is not registered (OC)
2841
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(101): Warning 25015: the output "do_a" is not registered (OC)
2842
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(102): Warning 25015: the output "do_b" is not registered (OC)
2843
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_dc_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177)) without flip-flops is not allowed (OC)
2844
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_ic_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177)) without flip-flops is not allowed (OC)
2845
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_dmmu_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(177)) without flip-flops is not allowed (OC)
2846
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_immu_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2847
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_tt_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2848
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_cpu_gate_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2849
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i[19:2]" to primary output  pin "pm_wakeup_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2850
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i[1:0]" to primary output  pin "pm_wakeup_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2851
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(146): Warning 25016: direct path from primary input pin "pic_ints_i" to primary output  pin "pm_lvolt_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2852
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_dat_i[31:19]" to primary output  pin "dbg_dat_o[31:19]" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2853
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_dat_i[12:0]" to primary output  pin "dbg_dat_o[12:0]" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2854
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_dat_i[18:13]" to primary output  pin "dbg_dat_o[18:13]" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2855
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_stb_i" to primary output  pin "dbg_dat_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2856
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_we_i" to primary output  pin "dbg_dat_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2857
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169): Warning 25016: direct path from primary input pin "dbg_adr_i" to primary output  pin "dbg_dat_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(169)) without flip-flops is not allowed (OC)
2858
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(176): Warning 25016: direct path from primary input pin "pm_cpustall_i" to primary output  pin "pm_lvolt_o" (/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(178)) without flip-flops is not allowed (OC)
2859
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(262): Warning 27122: variable "result_cust5" lost being assigned in some branches of always block (OC)
2860
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(123): Warning 27209: the length of module name "or1200_dpram_32x32" is unconventional and should be in the range from 3 to 16 (OC)
2861
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(87): Warning 27209: the length of module name "or1200_gmultp2_32x32" is unconventional and should be in the range from 3 to 16 (OC)
2862
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(78): Warning 27209: the length of module name "or1200_operandmuxes" is unconventional and should be in the range from 3 to 16 (OC)
2863
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(59): Warning 27209: the length of module name "or1200_rfram_generic" is unconventional and should be in the range from 3 to 16 (OC)
2864
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(109): Warning 27209: the length of module name "or1200_spram_1024x32" is unconventional and should be in the range from 3 to 16 (OC)
2865
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32_bw.v(79): Warning 27209: the length of module name "or1200_spram_1024x32_bw" is unconventional and should be in the range from 3 to 16 (OC)
2866
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(106): Warning 27209: the length of module name "or1200_spram_1024x8" is unconventional and should be in the range from 3 to 16 (OC)
2867
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(73): Warning 27209: the length of module name "or1200_spram_128x32" is unconventional and should be in the range from 3 to 16 (OC)
2868
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(109): Warning 27209: the length of module name "or1200_spram_2048x32" is unconventional and should be in the range from 3 to 16 (OC)
2869
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32_bw.v(82): Warning 27209: the length of module name "or1200_spram_2048x32_bw" is unconventional and should be in the range from 3 to 16 (OC)
2870
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(106): Warning 27209: the length of module name "or1200_spram_2048x8" is unconventional and should be in the range from 3 to 16 (OC)
2871
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(115): Warning 27209: the length of module name "or1200_spram_256x21" is unconventional and should be in the range from 3 to 16 (OC)
2872
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(77): Warning 27209: the length of module name "or1200_spram_32x24" is unconventional and should be in the range from 3 to 16 (OC)
2873
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(112): Warning 27209: the length of module name "or1200_spram_512x20" is unconventional and should be in the range from 3 to 16 (OC)
2874
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(106): Warning 27209: the length of module name "or1200_spram_64x14" is unconventional and should be in the range from 3 to 16 (OC)
2875
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(106): Warning 27209: the length of module name "or1200_spram_64x22" is unconventional and should be in the range from 3 to 16 (OC)
2876
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(109): Warning 27209: the length of module name "or1200_spram_64x24" is unconventional and should be in the range from 3 to 16 (OC)
2877
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(99): Warning 27209: the length of module name "or1200_tpram_32x32" is unconventional and should be in the range from 3 to 16 (OC)
2878
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(535): Warning 27233: the length of instance name "or1200_operandmuxes" is unconventional and should be in the range from 3 to 16 (OC)
2879
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(215): Warning 27233: the length of instance name "or1200_gmultp2_32x32" is unconventional and should be in the range from 3 to 16 (OC)
2880
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(181): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2881
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(182): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2882
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(98): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2883
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(99): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2884
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(94): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2885
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(95): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2886
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(128): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2887
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(101): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2888
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(102): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2889
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(126): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2890
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(127): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2891
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(132): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2892
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(133): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2893
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(137): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2894
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(138): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2895
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(100): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2896
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(101): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2897
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(100): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2898
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(101): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2899
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(131): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2900
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(107): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2901
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(108): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2902
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(141): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2903
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(142): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2904
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(132): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2905
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(133): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2906
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(98): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2907
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(99): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2908
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(134): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2909
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(107): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2910
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(108): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2911
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(73): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2912
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(74): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2913
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(73): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2914
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(74): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2915
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(67): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2916
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(68): Warning 27273: the length of parameter name "fw" is unconventional and should be in the range from 3 to 16 (OC)
2917
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(69): Warning 27273: the length of parameter name "fl" is unconventional and should be in the range from 3 to 16 (OC)
2918
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(121): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2919
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(122): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2920
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(118): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2921
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(119): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2922
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(85): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2923
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(86): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2924
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(121): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2925
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(122): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2926
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(118): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2927
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(119): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2928
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(127): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2929
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(128): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2930
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(89): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2931
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(90): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2932
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(124): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2933
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(125): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2934
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(118): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2935
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(119): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2936
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(118): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2937
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(119): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2938
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(121): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2939
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(122): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2940
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(182): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2941
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(183): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2942
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(108): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2943
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(109): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2944
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(129): Warning 27273: the length of parameter name "dw" is unconventional and should be in the range from 3 to 16 (OC)
2945
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(130): Warning 27273: the length of parameter name "aw" is unconventional and should be in the range from 3 to 16 (OC)
2946
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(122): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
2947
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(181): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2948
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cpu.v(182): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2949
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(98): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2950
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_ram.v(99): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2951
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(94): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2952
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_tag.v(95): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2953
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_top.v(128): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2954
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(101): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2955
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_tlb.v(102): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2956
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(126): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2957
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dmmu_top.v(127): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2958
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(132): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2959
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dpram_32x32.v(133): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2960
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(137): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2961
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(138): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2962
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(100): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2963
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_ram.v(101): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2964
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(100): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2965
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_tag.v(101): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2966
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_top.v(131): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2967
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(107): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2968
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_tlb.v(108): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2969
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(141): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2970
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_immu_top.v(142): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2971
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(132): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2972
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_iwb_biu.v(133): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2973
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(98): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2974
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_lsu.v(99): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2975
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(89): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
2976
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(84): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
2977
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(87): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
2978
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(134): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2979
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(80): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
2980
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(107): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2981
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rf.v(108): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2982
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(73): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2983
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(74): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2984
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(73): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2985
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb.v(74): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2986
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(67): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2987
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(68): Warning 27277: PREFIX "p_" should be added to parameter name "fw" (OC)
2988
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(69): Warning 27277: PREFIX "p_" should be added to parameter name "fl" (OC)
2989
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(121): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2990
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x32.v(122): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2991
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(118): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2992
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_1024x8.v(119): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2993
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(85): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2994
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_128x32.v(86): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2995
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(121): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2996
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x32.v(122): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2997
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(118): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
2998
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_2048x8.v(119): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
2999
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(127): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3000
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_256x21.v(128): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3001
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(89): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3002
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_32x24.v(90): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3003
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(124): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3004
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_512x20.v(125): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3005
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(118): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3006
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x14.v(119): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3007
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(118): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3008
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x22.v(119): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3009
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(121): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3010
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_spram_64x24.v(122): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3011
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(135): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
3012
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(182): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3013
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(183): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3014
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_top.v(184): Warning 27277: PREFIX "p_" should be added to parameter name "ppic_ints" (OC)
3015
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(108): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3016
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tpram_32x32.v(109): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3017
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(129): Warning 27277: PREFIX "p_" should be added to parameter name "dw" (OC)
3018
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wb_biu.v(130): Warning 27277: PREFIX "p_" should be added to parameter name "aw" (OC)
3019
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(85): Warning 27277: PREFIX "p_" should be added to parameter name "width" (OC)
3020
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(97): Warning 27293: PREFIX "v_" should be added to variable name "xi" (OC)
3021
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(98): Warning 27293: PREFIX "v_" should be added to variable name "yi" (OC)
3022
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(157): Warning 27339: signed to unsigned assignment occurs (OC)
3023
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(158): Warning 27339: signed to unsigned assignment occurs (OC)
3024
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(170): Warning 27339: signed to unsigned assignment occurs (OC)
3025
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(171): Warning 27339: signed to unsigned assignment occurs (OC)
3026
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(252): Warning 27339: signed to unsigned assignment occurs (OC)
3027
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(104): Warning 27339: unsigned to signed assignment occurs (OC)
3028
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(110): Warning 27339: unsigned to signed assignment occurs (OC)
3029
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(119): Warning 27339: signed to unsigned assignment occurs (OC)
3030
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(231): Warning 27339: signed to unsigned assignment occurs (OC)
3031
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sb_fifo.v(137): Warning 27345: a size constant should be specified for integer "1" (OC)
3032
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(107): Information 27359: macro "OR1200_DCFSM_SREFILL4" is defined but not used (OC)
3033
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(586): Information 27359: macro "OR1200_IMPL_MEM2REG1" is defined but not used (OC)
3034
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(605): Information 27359: macro "OR1200_ALUOP_DIV" is defined but not used (OC)
3035
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(606): Information 27359: macro "OR1200_ALUOP_DIVU" is defined but not used (OC)
3036
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(629): Information 27359: macro "OR1200_SHROTOP_SRA" is defined but not used (OC)
3037
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(630): Information 27359: macro "OR1200_SHROTOP_ROR" is defined but not used (OC)
3038
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(635): Information 27359: macro "OR1200_TWO_CYCLES" is defined but not used (OC)
3039
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(670): Information 27359: macro "OR1200_LSUOP_LD" is defined but not used (OC)
3040
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(671): Information 27359: macro "OR1200_LSUOP_SD" is defined but not used (OC)
3041
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(678): Information 27359: macro "OR1200_FETCHOP_NOP" is defined but not used (OC)
3042
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(679): Information 27359: macro "OR1200_FETCHOP_LW" is defined but not used (OC)
3043
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(700): Information 27359: macro "OR1200_COP_X" is defined but not used (OC)
3044
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(701): Information 27359: macro "OR1200_SIGNED_COMPARE" is defined but not used (OC)
3045
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(707): Information 27359: macro "OR1200_ITAG_IDLE" is defined but not used (OC)
3046
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(718): Information 27359: macro "OR1200_DTAG_AE" is defined but not used (OC)
3047
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(730): Information 27359: macro "OR1200_SHROTOP_POS" is defined but not used (OC)
3048
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(733): Information 27359: macro "OR1200_ALUMCYC_POS" is defined but not used (OC)
3049
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(819): Information 27359: macro "OR1200_EXCEPT_UNUSED" is defined but not used (OC)
3050
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(821): Information 27359: macro "OR1200_EXCEPT_BREAK" is defined but not used (OC)
3051
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(843): Information 27359: macro "OR1200_SPR_GROUP_BITS" is defined but not used (OC)
3052
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(849): Information 27359: macro "OR1200_SPR_OFS_BITS" is defined but not used (OC)
3053
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(855): Information 27359: macro "OR1200_SPR_GROUP_DC" is defined but not used (OC)
3054
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(856): Information 27359: macro "OR1200_SPR_GROUP_IC" is defined but not used (OC)
3055
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(885): Information 27359: macro "OR1200_SR_SM" is defined but not used (OC)
3056
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(886): Information 27359: macro "OR1200_SR_TEE" is defined but not used (OC)
3057
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(887): Information 27359: macro "OR1200_SR_IEE" is defined but not used (OC)
3058
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(888): Information 27359: macro "OR1200_SR_DCE" is defined but not used (OC)
3059
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(889): Information 27359: macro "OR1200_SR_ICE" is defined but not used (OC)
3060
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(890): Information 27359: macro "OR1200_SR_DME" is defined but not used (OC)
3061
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(891): Information 27359: macro "OR1200_SR_IME" is defined but not used (OC)
3062
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(892): Information 27359: macro "OR1200_SR_LEE" is defined but not used (OC)
3063
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(893): Information 27359: macro "OR1200_SR_CE" is defined but not used (OC)
3064
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(894): Information 27359: macro "OR1200_SR_F" is defined but not used (OC)
3065
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(895): Information 27359: macro "OR1200_SR_CY" is defined but not used (OC)
3066
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(896): Information 27359: macro "OR1200_SR_OV" is defined but not used (OC)
3067
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(897): Information 27359: macro "OR1200_SR_OVE" is defined but not used (OC)
3068
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(898): Information 27359: macro "OR1200_SR_DSX" is defined but not used (OC)
3069
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(899): Information 27359: macro "OR1200_SR_EPH" is defined but not used (OC)
3070
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(900): Information 27359: macro "OR1200_SR_FO" is defined but not used (OC)
3071
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(901): Information 27359: macro "OR1200_SR_CID" is defined but not used (OC)
3072
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(906): Information 27359: macro "OR1200_SPROFS_BITS" is defined but not used (OC)
3073
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(925): Information 27359: macro "OR1200_PM_PMR_SDF" is defined but not used (OC)
3074
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(926): Information 27359: macro "OR1200_PM_PMR_DME" is defined but not used (OC)
3075
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(927): Information 27359: macro "OR1200_PM_PMR_SME" is defined but not used (OC)
3076
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(928): Information 27359: macro "OR1200_PM_PMR_DCGE" is defined but not used (OC)
3077
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(929): Information 27359: macro "OR1200_PM_PMR_UNUSED" is defined but not used (OC)
3078
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(932): Information 27359: macro "OR1200_PM_OFS_PMR" is defined but not used (OC)
3079
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(966): Information 27359: macro "OR1200_DU_DVRDCR_PAIRS" is defined but not used (OC)
3080
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1015): Information 27359: macro "OR1200_DUOFS_BITS" is defined but not used (OC)
3081
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1018): Information 27359: macro "OR1200_DU_DCR_DP" is defined but not used (OC)
3082
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1019): Information 27359: macro "OR1200_DU_DCR_CC" is defined but not used (OC)
3083
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1020): Information 27359: macro "OR1200_DU_DCR_SC" is defined but not used (OC)
3084
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1021): Information 27359: macro "OR1200_DU_DCR_CT" is defined but not used (OC)
3085
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1024): Information 27359: macro "OR1200_DU_DMR1_CW0" is defined but not used (OC)
3086
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1025): Information 27359: macro "OR1200_DU_DMR1_CW1" is defined but not used (OC)
3087
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1026): Information 27359: macro "OR1200_DU_DMR1_CW2" is defined but not used (OC)
3088
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1027): Information 27359: macro "OR1200_DU_DMR1_CW3" is defined but not used (OC)
3089
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1028): Information 27359: macro "OR1200_DU_DMR1_CW4" is defined but not used (OC)
3090
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1029): Information 27359: macro "OR1200_DU_DMR1_CW5" is defined but not used (OC)
3091
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1030): Information 27359: macro "OR1200_DU_DMR1_CW6" is defined but not used (OC)
3092
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1031): Information 27359: macro "OR1200_DU_DMR1_CW7" is defined but not used (OC)
3093
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1032): Information 27359: macro "OR1200_DU_DMR1_CW8" is defined but not used (OC)
3094
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1033): Information 27359: macro "OR1200_DU_DMR1_CW9" is defined but not used (OC)
3095
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1034): Information 27359: macro "OR1200_DU_DMR1_CW10" is defined but not used (OC)
3096
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1037): Information 27359: macro "OR1200_DU_DMR1_DXFW" is defined but not used (OC)
3097
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1038): Information 27359: macro "OR1200_DU_DMR1_ETE" is defined but not used (OC)
3098
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1041): Information 27359: macro "OR1200_DU_DMR2_WCE0" is defined but not used (OC)
3099
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1042): Information 27359: macro "OR1200_DU_DMR2_WCE1" is defined but not used (OC)
3100
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1043): Information 27359: macro "OR1200_DU_DMR2_AWTC" is defined but not used (OC)
3101
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1044): Information 27359: macro "OR1200_DU_DMR2_WGB" is defined but not used (OC)
3102
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1047): Information 27359: macro "OR1200_DU_DWCR_COUNT" is defined but not used (OC)
3103
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1048): Information 27359: macro "OR1200_DU_DWCR_MATCH" is defined but not used (OC)
3104
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1052): Information 27359: macro "OR1200_DU_DSR_RSTE" is defined but not used (OC)
3105
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1053): Information 27359: macro "OR1200_DU_DSR_BUSEE" is defined but not used (OC)
3106
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1054): Information 27359: macro "OR1200_DU_DSR_DPFE" is defined but not used (OC)
3107
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1055): Information 27359: macro "OR1200_DU_DSR_IPFE" is defined but not used (OC)
3108
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1056): Information 27359: macro "OR1200_DU_DSR_TTE" is defined but not used (OC)
3109
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1057): Information 27359: macro "OR1200_DU_DSR_AE" is defined but not used (OC)
3110
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1058): Information 27359: macro "OR1200_DU_DSR_IIE" is defined but not used (OC)
3111
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1059): Information 27359: macro "OR1200_DU_DSR_IE" is defined but not used (OC)
3112
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1060): Information 27359: macro "OR1200_DU_DSR_DME" is defined but not used (OC)
3113
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1061): Information 27359: macro "OR1200_DU_DSR_IME" is defined but not used (OC)
3114
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1062): Information 27359: macro "OR1200_DU_DSR_RE" is defined but not used (OC)
3115
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1063): Information 27359: macro "OR1200_DU_DSR_SCE" is defined but not used (OC)
3116
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1064): Information 27359: macro "OR1200_DU_DSR_BE" is defined but not used (OC)
3117
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1065): Information 27359: macro "OR1200_DU_DSR_TE" is defined but not used (OC)
3118
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1068): Information 27359: macro "OR1200_DU_DRR_RSTE" is defined but not used (OC)
3119
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1069): Information 27359: macro "OR1200_DU_DRR_BUSEE" is defined but not used (OC)
3120
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1070): Information 27359: macro "OR1200_DU_DRR_DPFE" is defined but not used (OC)
3121
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1071): Information 27359: macro "OR1200_DU_DRR_IPFE" is defined but not used (OC)
3122
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1072): Information 27359: macro "OR1200_DU_DRR_TTE" is defined but not used (OC)
3123
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1073): Information 27359: macro "OR1200_DU_DRR_AE" is defined but not used (OC)
3124
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1074): Information 27359: macro "OR1200_DU_DRR_IIE" is defined but not used (OC)
3125
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1075): Information 27359: macro "OR1200_DU_DRR_IE" is defined but not used (OC)
3126
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1076): Information 27359: macro "OR1200_DU_DRR_DME" is defined but not used (OC)
3127
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1077): Information 27359: macro "OR1200_DU_DRR_IME" is defined but not used (OC)
3128
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1078): Information 27359: macro "OR1200_DU_DRR_RE" is defined but not used (OC)
3129
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1079): Information 27359: macro "OR1200_DU_DRR_SCE" is defined but not used (OC)
3130
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1080): Information 27359: macro "OR1200_DU_DRR_BE" is defined but not used (OC)
3131
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1081): Information 27359: macro "OR1200_DU_DRR_TE" is defined but not used (OC)
3132
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1087): Information 27359: macro "OR1200_DU_UNUSED_ZERO" is defined but not used (OC)
3133
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1108): Information 27359: macro "OR1200_PICOFS_BITS" is defined but not used (OC)
3134
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1134): Information 27359: macro "OR1200_TTOFS_BITS" is defined but not used (OC)
3135
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1141): Information 27359: macro "OR1200_TT_TTMR_TP" is defined but not used (OC)
3136
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1142): Information 27359: macro "OR1200_TT_TTMR_IP" is defined but not used (OC)
3137
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1143): Information 27359: macro "OR1200_TT_TTMR_IE" is defined but not used (OC)
3138
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1144): Information 27359: macro "OR1200_TT_TTMR_M" is defined but not used (OC)
3139
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1154): Information 27359: macro "OR1200_MAC_ADDR" is defined but not used (OC)
3140
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1166): Information 27359: macro "OR1200_DTLB_TM_ADDR" is defined but not used (OC)
3141
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1171): Information 27359: macro "OR1200_DTLBMR_V_BITS" is defined but not used (OC)
3142
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1172): Information 27359: macro "OR1200_DTLBMR_CID_BITS" is defined but not used (OC)
3143
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1173): Information 27359: macro "OR1200_DTLBMR_RES_BITS" is defined but not used (OC)
3144
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1174): Information 27359: macro "OR1200_DTLBMR_VPN_BITS" is defined but not used (OC)
3145
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1179): Information 27359: macro "OR1200_DTLBTR_CC_BITS" is defined but not used (OC)
3146
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1180): Information 27359: macro "OR1200_DTLBTR_CI_BITS" is defined but not used (OC)
3147
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1181): Information 27359: macro "OR1200_DTLBTR_WBC_BITS" is defined but not used (OC)
3148
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1182): Information 27359: macro "OR1200_DTLBTR_WOM_BITS" is defined but not used (OC)
3149
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1183): Information 27359: macro "OR1200_DTLBTR_A_BITS" is defined but not used (OC)
3150
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1184): Information 27359: macro "OR1200_DTLBTR_D_BITS" is defined but not used (OC)
3151
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1185): Information 27359: macro "OR1200_DTLBTR_URE_BITS" is defined but not used (OC)
3152
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1186): Information 27359: macro "OR1200_DTLBTR_UWE_BITS" is defined but not used (OC)
3153
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1187): Information 27359: macro "OR1200_DTLBTR_SRE_BITS" is defined but not used (OC)
3154
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1188): Information 27359: macro "OR1200_DTLBTR_SWE_BITS" is defined but not used (OC)
3155
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1189): Information 27359: macro "OR1200_DTLBTR_RES_BITS" is defined but not used (OC)
3156
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1190): Information 27359: macro "OR1200_DTLBTR_PPN_BITS" is defined but not used (OC)
3157
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1197): Information 27359: macro "OR1200_DTLB_INDXL" is defined but not used (OC)
3158
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1198): Information 27359: macro "OR1200_DTLB_INDXH" is defined but not used (OC)
3159
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1199): Information 27359: macro "OR1200_DTLB_INDX" is defined but not used (OC)
3160
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1201): Information 27359: macro "OR1200_DTLB_TAGL" is defined but not used (OC)
3161
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1227): Information 27359: macro "OR1200_ITLB_TM_ADDR" is defined but not used (OC)
3162
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1232): Information 27359: macro "OR1200_ITLBMR_V_BITS" is defined but not used (OC)
3163
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1233): Information 27359: macro "OR1200_ITLBMR_CID_BITS" is defined but not used (OC)
3164
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1234): Information 27359: macro "OR1200_ITLBMR_RES_BITS" is defined but not used (OC)
3165
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1235): Information 27359: macro "OR1200_ITLBMR_VPN_BITS" is defined but not used (OC)
3166
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1240): Information 27359: macro "OR1200_ITLBTR_CC_BITS" is defined but not used (OC)
3167
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1241): Information 27359: macro "OR1200_ITLBTR_CI_BITS" is defined but not used (OC)
3168
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1242): Information 27359: macro "OR1200_ITLBTR_WBC_BITS" is defined but not used (OC)
3169
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1243): Information 27359: macro "OR1200_ITLBTR_WOM_BITS" is defined but not used (OC)
3170
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1244): Information 27359: macro "OR1200_ITLBTR_A_BITS" is defined but not used (OC)
3171
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1245): Information 27359: macro "OR1200_ITLBTR_D_BITS" is defined but not used (OC)
3172
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1246): Information 27359: macro "OR1200_ITLBTR_SXE_BITS" is defined but not used (OC)
3173
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1247): Information 27359: macro "OR1200_ITLBTR_UXE_BITS" is defined but not used (OC)
3174
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1248): Information 27359: macro "OR1200_ITLBTR_RES_BITS" is defined but not used (OC)
3175
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1249): Information 27359: macro "OR1200_ITLBTR_PPN_BITS" is defined but not used (OC)
3176
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1256): Information 27359: macro "OR1200_ITLB_INDXL" is defined but not used (OC)
3177
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1257): Information 27359: macro "OR1200_ITLB_INDXH" is defined but not used (OC)
3178
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1258): Information 27359: macro "OR1200_ITLB_INDX" is defined but not used (OC)
3179
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1260): Information 27359: macro "OR1200_ITLB_TAGL" is defined but not used (OC)
3180
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1299): Information 27359: macro "OR1200_ICSIZE" is defined but not used (OC)
3181
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1302): Information 27359: macro "OR1200_ICTAGL" is defined but not used (OC)
3182
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1331): Information 27359: macro "OR1200_DCSIZE" is defined but not used (OC)
3183
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1334): Information 27359: macro "OR1200_DCTAGL" is defined but not used (OC)
3184
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1485): Information 27359: macro "OR1200_VR_REV_BITS" is defined but not used (OC)
3185
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1486): Information 27359: macro "OR1200_VR_RES1_BITS" is defined but not used (OC)
3186
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1487): Information 27359: macro "OR1200_VR_CFG_BITS" is defined but not used (OC)
3187
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1488): Information 27359: macro "OR1200_VR_VER_BITS" is defined but not used (OC)
3188
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1497): Information 27359: macro "OR1200_UPR_UP_BITS" is defined but not used (OC)
3189
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1498): Information 27359: macro "OR1200_UPR_DCP_BITS" is defined but not used (OC)
3190
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1499): Information 27359: macro "OR1200_UPR_ICP_BITS" is defined but not used (OC)
3191
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1500): Information 27359: macro "OR1200_UPR_DMP_BITS" is defined but not used (OC)
3192
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1501): Information 27359: macro "OR1200_UPR_IMP_BITS" is defined but not used (OC)
3193
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1502): Information 27359: macro "OR1200_UPR_MP_BITS" is defined but not used (OC)
3194
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1503): Information 27359: macro "OR1200_UPR_DUP_BITS" is defined but not used (OC)
3195
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1504): Information 27359: macro "OR1200_UPR_PCUP_BITS" is defined but not used (OC)
3196
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1505): Information 27359: macro "OR1200_UPR_PMP_BITS" is defined but not used (OC)
3197
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1506): Information 27359: macro "OR1200_UPR_PICP_BITS" is defined but not used (OC)
3198
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1507): Information 27359: macro "OR1200_UPR_TTP_BITS" is defined but not used (OC)
3199
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1508): Information 27359: macro "OR1200_UPR_RES1_BITS" is defined but not used (OC)
3200
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1509): Information 27359: macro "OR1200_UPR_CUP_BITS" is defined but not used (OC)
3201
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1559): Information 27359: macro "OR1200_CPUCFGR_NSGF_BITS" is defined but not used (OC)
3202
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1560): Information 27359: macro "OR1200_CPUCFGR_HGF_BITS" is defined but not used (OC)
3203
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1561): Information 27359: macro "OR1200_CPUCFGR_OB32S_BITS" is defined but not used (OC)
3204
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1562): Information 27359: macro "OR1200_CPUCFGR_OB64S_BITS" is defined but not used (OC)
3205
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1563): Information 27359: macro "OR1200_CPUCFGR_OF32S_BITS" is defined but not used (OC)
3206
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1564): Information 27359: macro "OR1200_CPUCFGR_OF64S_BITS" is defined but not used (OC)
3207
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1565): Information 27359: macro "OR1200_CPUCFGR_OV64S_BITS" is defined but not used (OC)
3208
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1566): Information 27359: macro "OR1200_CPUCFGR_RES1_BITS" is defined but not used (OC)
3209
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1579): Information 27359: macro "OR1200_DMMUCFGR_NTW_BITS" is defined but not used (OC)
3210
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1580): Information 27359: macro "OR1200_DMMUCFGR_NTS_BITS" is defined but not used (OC)
3211
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1581): Information 27359: macro "OR1200_DMMUCFGR_NAE_BITS" is defined but not used (OC)
3212
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1582): Information 27359: macro "OR1200_DMMUCFGR_CRI_BITS" is defined but not used (OC)
3213
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1583): Information 27359: macro "OR1200_DMMUCFGR_PRI_BITS" is defined but not used (OC)
3214
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1584): Information 27359: macro "OR1200_DMMUCFGR_TEIRI_BITS" is defined but not used (OC)
3215
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1585): Information 27359: macro "OR1200_DMMUCFGR_HTR_BITS" is defined but not used (OC)
3216
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1586): Information 27359: macro "OR1200_DMMUCFGR_RES1_BITS" is defined but not used (OC)
3217
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1610): Information 27359: macro "OR1200_IMMUCFGR_NTW_BITS" is defined but not used (OC)
3218
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1611): Information 27359: macro "OR1200_IMMUCFGR_NTS_BITS" is defined but not used (OC)
3219
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1612): Information 27359: macro "OR1200_IMMUCFGR_NAE_BITS" is defined but not used (OC)
3220
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1613): Information 27359: macro "OR1200_IMMUCFGR_CRI_BITS" is defined but not used (OC)
3221
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1614): Information 27359: macro "OR1200_IMMUCFGR_PRI_BITS" is defined but not used (OC)
3222
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1615): Information 27359: macro "OR1200_IMMUCFGR_TEIRI_BITS" is defined but not used (OC)
3223
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1616): Information 27359: macro "OR1200_IMMUCFGR_HTR_BITS" is defined but not used (OC)
3224
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1617): Information 27359: macro "OR1200_IMMUCFGR_RES1_BITS" is defined but not used (OC)
3225
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1641): Information 27359: macro "OR1200_DCCFGR_NCW_BITS" is defined but not used (OC)
3226
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1642): Information 27359: macro "OR1200_DCCFGR_NCS_BITS" is defined but not used (OC)
3227
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1643): Information 27359: macro "OR1200_DCCFGR_CBS_BITS" is defined but not used (OC)
3228
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1644): Information 27359: macro "OR1200_DCCFGR_CWS_BITS" is defined but not used (OC)
3229
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1645): Information 27359: macro "OR1200_DCCFGR_CCRI_BITS" is defined but not used (OC)
3230
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1646): Information 27359: macro "OR1200_DCCFGR_CBIRI_BITS" is defined but not used (OC)
3231
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1647): Information 27359: macro "OR1200_DCCFGR_CBPRI_BITS" is defined but not used (OC)
3232
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1648): Information 27359: macro "OR1200_DCCFGR_CBLRI_BITS" is defined but not used (OC)
3233
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1649): Information 27359: macro "OR1200_DCCFGR_CBFRI_BITS" is defined but not used (OC)
3234
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1650): Information 27359: macro "OR1200_DCCFGR_CBWBRI_BITS" is defined but not used (OC)
3235
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1651): Information 27359: macro "OR1200_DCCFGR_RES1_BITS" is defined but not used (OC)
3236
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1681): Information 27359: macro "OR1200_ICCFGR_NCW_BITS" is defined but not used (OC)
3237
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1682): Information 27359: macro "OR1200_ICCFGR_NCS_BITS" is defined but not used (OC)
3238
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1683): Information 27359: macro "OR1200_ICCFGR_CBS_BITS" is defined but not used (OC)
3239
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1684): Information 27359: macro "OR1200_ICCFGR_CWS_BITS" is defined but not used (OC)
3240
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1685): Information 27359: macro "OR1200_ICCFGR_CCRI_BITS" is defined but not used (OC)
3241
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1686): Information 27359: macro "OR1200_ICCFGR_CBIRI_BITS" is defined but not used (OC)
3242
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1687): Information 27359: macro "OR1200_ICCFGR_CBPRI_BITS" is defined but not used (OC)
3243
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1688): Information 27359: macro "OR1200_ICCFGR_CBLRI_BITS" is defined but not used (OC)
3244
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1689): Information 27359: macro "OR1200_ICCFGR_CBFRI_BITS" is defined but not used (OC)
3245
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1690): Information 27359: macro "OR1200_ICCFGR_CBWBRI_BITS" is defined but not used (OC)
3246
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1691): Information 27359: macro "OR1200_ICCFGR_RES1_BITS" is defined but not used (OC)
3247
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1721): Information 27359: macro "OR1200_DCFGR_NDP_BITS" is defined but not used (OC)
3248
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1722): Information 27359: macro "OR1200_DCFGR_WPCI_BITS" is defined but not used (OC)
3249
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_defines.v(1723): Information 27359: macro "OR1200_DCFGR_RES1_BITS" is defined but not used (OC)
3250
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(102): Information 27359: macro "OR1200_NO_FREEZE" is defined but not used (OC)
3251
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(103): Information 27359: macro "OR1200_FREEZE_BYDC" is defined but not used (OC)
3252
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(104): Information 27359: macro "OR1200_FREEZE_BYMULTICYCLE" is defined but not used (OC)
3253
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(105): Information 27359: macro "OR1200_WAIT_LSU_TO_FINISH" is defined but not used (OC)
3254
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_freeze.v(106): Information 27359: macro "OR1200_WAIT_IC" is defined but not used (OC)
3255
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(109): Information 27359: macro "OR1200_ICFSM_IFETCH" is defined but not used (OC)
3256
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(97): Warning 27369: integer type object "xi" should not be used (OC)
3257
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_gmultp2_32x32.v(98): Warning 27369: integer type object "yi" should not be used (OC)
3258
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(200): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3259
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(202): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3260
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(263): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3261
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(289): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3262
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(325): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3263
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(349): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3264
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(369): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3265
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_alu.v(393): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3266
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_cfgr.v(103): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3267
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(311): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3268
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(326): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3269
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(377): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3270
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(421): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3271
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(438): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3272
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(512): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3273
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(593): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3274
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(656): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3275
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(754): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3276
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(799): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3277
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(895): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3278
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ctrl.v(953): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3279
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_dc_fsm.v(211): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3280
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(958): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3281
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1076): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3282
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1090): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3283
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1140): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3284
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1154): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3285
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1204): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3286
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1218): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3287
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1268): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3288
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1282): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3289
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1332): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3290
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1346): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3291
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1396): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3292
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1410): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3293
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1460): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3294
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1474): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3295
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1524): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3296
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_du.v(1538): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3297
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(420): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3298
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_except.v(422): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3299
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(203): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3300
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_ic_fsm.v(199): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3301
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(129): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3302
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(146): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3303
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(168): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3304
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(191): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3305
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(216): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3306
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(218): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case infer_mux" should not be used (OC)
3307
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(222): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3308
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(224): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3309
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(252): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3310
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(254): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case infer_mux" should not be used (OC)
3311
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(258): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3312
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(260): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3313
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(297): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3314
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(299): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case infer_mux" should not be used (OC)
3315
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(303): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3316
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(305): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3317
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(339): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3318
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(341): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case infer_mux" should not be used (OC)
3319
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(345): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3320
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(347): Warning 27371: synopsys synthesis directive "// synopsys full_case parallel_case" should not be used (OC)
3321
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(389): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3322
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(391): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3323
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(409): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3324
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mem2reg.v(411): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3325
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_mult_mac.v(190): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3326
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(154): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3327
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(156): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3328
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(172): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3329
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_operandmuxes.v(174): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3330
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_pic.v(173): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3331
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_qmem_top.v(312): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3332
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(104): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3333
/tmp/lint/or1k/orp/orp_soc/
3334
Total 232 Error(s), 2445 Warning(s), 282 Information(s)
3335
rtl/verilog/or1200/or1200_reg2mem.v(115): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3336
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_reg2mem.v(125): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3337
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(125): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3338
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(171): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3339
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_rfram_generic.v(217): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3340
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(261): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3341
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(386): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3342
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_sprs.v(393): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3343
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_tt.v(180): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3344
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(136): Warning 27371: synopsys synthesis directive "// synopsys parallel_case infer_mux" should not be used (OC)
3345
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_wbmux.v(138): Warning 27371: synopsys synthesis directive "// synopsys parallel_case" should not be used (OC)
3346
/tmp/lint/or1k/orp/orp_soc/rtl/verilog/or1200/or1200_genpc.v(309): Warning 27661: signal "except_prefix" is being read asynchronously. It may cause simulation-synthesis mismatch (OC)
3347
 
3348
Total 232 Error(s), 2445 Warning(s), 282 Information(s)
3349
 
3350
Total 0 Warning(s)
3351
 
3352
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.