OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [div_bench.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
`include "../../../rtl/verilog/gfx/div_uu.v"
2
 
3
module div_bench();
4
 
5
parameter point_width = 16;
6
 
7
reg                       clk_i;     // system clock
8
reg                       enable;    // clock enable
9
 
10
reg  [2*point_width -1:0] divident;  // divident
11
reg    [point_width -1:0] divisor;   // divisor
12
wire   [point_width -1:0] quotient;  // quotient
13
wire   [point_width -1:0] remainder; // remainder
14
wire                      div0;
15
wire                      overflow;
16
 
17
initial begin
18
  $dumpfile("div.vcd");
19
  $dumpvars(0,div_bench);
20
 
21
// init values
22
  clk_i = 0;
23
  enable = 1;
24
  divident = 25;
25
  divisor = 30;
26
 
27
#2  divident = 30;
28
  divisor = 5;
29
 
30
#8  enable = 0;
31
#8  enable = 1;
32
 
33
//timing
34
 
35
// end sim
36
 
37
  #1000 $finish;
38
end
39
 
40
always begin
41
  #1 clk_i = ~clk_i;
42
end
43
 
44
div_uu div(
45
.clk  (clk_i),
46
.ena  (enable),
47
.z    (divident),
48
.d    (divisor),
49
.q    (quotient),
50
.s    (remainder),
51
.div0 (div0),
52
.ovf  (overflow)
53
);
54
 
55
defparam div.z_width = 2*point_width;
56
 
57
endmodule
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.