OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [fifo.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[*]
2
[*] GTKWave Analyzer v3.3.34 (w)1999-2012 BSI
3
[*] Tue May  8 06:43:13 2012
4
[*]
5
[dumpfile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/fifo.vcd"
6
[dumpfile_mtime] "Tue May  8 06:41:23 2012"
7
[dumpfile_size] 23906
8
[savefile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/fifo.sav"
9
[timestart] 0
10
[size] 1000 600
11
[pos] -1 -1
12
*-4.000000 2 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] fifo_bench.
14
[sst_width] 223
15
[signals_width] 142
16
[sst_expanded] 1
17
[sst_vpaned_height] 160
18
@200
19
-sync
20
@28
21
fifo_bench.fifo.clk_i
22
fifo_bench.fifo.rst_i
23
@200
24
-input
25
@24
26
fifo_bench.fifo.data_i[31:0]
27
@28
28
fifo_bench.fifo.enq_i
29
@29
30
fifo_bench.fifo.deq_i
31
@200
32
-output
33
@24
34
fifo_bench.fifo.data_o[31:0]
35
@28
36
fifo_bench.fifo.full_o
37
fifo_bench.fifo.valid_o
38
@24
39
fifo_bench.fifo.count_o[6:0]
40
@200
41
-internal
42
@24
43
fifo_bench.fifo.fifo_head[6:0]
44
fifo_bench.fifo.fifo_tail[6:0]
45
fifo_bench.fifo.next_tail[6:0]
46
@28
47
fifo_bench.fifo.is_empty
48
fifo_bench.fifo.is_full
49
fifo_bench.fifo.next_full
50
[pattern_trace] 1
51
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.