OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [fifo_bench.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
`include "../../../rtl/verilog/gfx/basic_fifo.v"
2
 
3
module fifo_bench();
4
 
5
parameter fifo_width     = 32;
6
parameter fifo_bit_depth = 6;
7
 
8
reg                     clk_i;
9
reg                     rst_i;
10
 
11
reg    [fifo_width-1:0] data_i;
12
reg                     enq_i;
13
wire                    full_o;
14
wire [fifo_bit_depth:0] count_o;
15
 
16
wire [fifo_width-1:0]   data_o;
17
wire                    valid_o;
18
reg                     deq_i;
19
 
20
 
21
initial begin
22
  $dumpfile("fifo.vcd");
23
  $dumpvars(0,fifo_bench);
24
 
25
// init values
26
  clk_i = 0;
27
  rst_i = 1;
28
  data_i = 0;
29
  enq_i = 1;
30
  deq_i = 0;
31
 
32
//timing
33
#2 rst_i = 0;
34
 
35
#200
36
  enq_i = 0;
37
  deq_i = 1;
38
#100 deq_i = 0;
39
// end sim
40
  #2000 $finish;
41
end
42
 
43
always begin
44
  #1 clk_i = ~clk_i;
45
end
46
 
47
basic_fifo fifo(
48
.clk_i   (clk_i),
49
.rst_i   (rst_i),
50
 
51
.data_i  (data_i),
52
.enq_i   (enq_i),
53
.full_o  (full_o),
54
.count_o (count_o),
55
 
56
.data_o  (data_o),
57
.valid_o (valid_o),
58
.deq_i   (deq_i)
59
);
60
 
61
defparam fifo.fifo_width     = fifo_width;
62
defparam fifo.fifo_bit_depth = fifo_bit_depth;
63
 
64
endmodule
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.