OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [gtkwave_color.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[timestart] 0
2
[size] 1024 744
3
[pos] -1 -1
4
*-4.882107 37 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
5
[treeopen] color_bench.
6
@28
7
color_bench.clk_i
8
color_bench.color_depth_i[1:0]
9
@200
10
-Color to mem
11
@22
12
color_bench.color_i[31:0]
13
@28
14
color_bench.x_lsb_i[1:0]
15
@22
16
color_bench.mem_sel_o[3:0]
17
color_bench.mem_o[31:0]
18
@200
19
-Mem to color
20
@29
21
color_bench.x_lsb_i[1:0]
22
@22
23
color_bench.mem_i[31:0]
24
color_bench.col_sel_o[3:0]
25
color_bench.color_o[31:0]
26
[pattern_trace] 1
27
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.