OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [gtkwave_gfx.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[*]
2
[*] GTKWave Analyzer v3.3.34 (w)1999-2012 BSI
3
[*] Thu May 17 15:39:20 2012
4
[*]
5
[dumpfile] "/home/maiden/Creative/Svn/orgfx/bench/verilog/gfx/gfx.vcd"
6
[dumpfile_mtime] "Thu May 17 15:29:20 2012"
7
[dumpfile_size] 1738551
8
[savefile] "/home/maiden/Creative/Svn/orgfx/bench/verilog/gfx/gtkwave_gfx.sav"
9
[timestart] 0
10
[size] 1366 744
11
[pos] -1 -1
12
*-14.000000 283000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] gfx_bench.
14
[treeopen] gfx_bench.top.
15
[treeopen] gfx_bench.top.fp0.
16
[treeopen] gfx_bench.top.rasterizer0.
17
[treeopen] gfx_bench.top.wb_databus.
18
[sst_width] 223
19
[signals_width] 275
20
[sst_expanded] 1
21
[sst_vpaned_height] 313
22
@200
23
-colorkey
24
@24
25
gfx_bench.top.wb_databus.clipping_enable_o
26
@200
27
-clip
28
@22
29
gfx_bench.top.colorkey_reg[31:0]
30
@28
31
gfx_bench.top.colorkey_enable_reg
32
gfx_bench.top.fp0.transparent_pixel
33
gfx_bench.top.fp0.write_o
34
gfx_bench.top.fp0.state[1:0]
35
@200
36
-ack
37
@28
38
gfx_bench.top.wbm_write_ack_i
39
gfx_bench.top.wbm_writer.cyc_o
40
gfx_bench.top.wbmwriter_render_ack
41
gfx_bench.top.render_blender_ack
42
gfx_bench.top.renderer.write_o
43
gfx_bench.top.blender_fragment_ack
44
gfx_bench.top.blender0.write_o
45
gfx_bench.top.blender0.state[1:0]
46
gfx_bench.top.fp0.write_o
47
@200
48
-Wishbone
49
@28
50
gfx_bench.wb_clk_i
51
gfx_bench.wb_rst_i
52
@200
53
-Wishbone slave
54
@22
55
gfx_bench.top.wb_databus.status_reg[31:0]
56
@28
57
gfx_bench.top.wb_databus.instruction_fifo_rreq
58
gfx_bench.top.wb_databus.instruction_fifo_wreq
59
gfx_bench.top.wb_databus.state
60
@22
61
gfx_bench.top.wb_databus.instruction_fifo_q_data[31:0]
62
gfx_bench.top.wb_databus.control_reg[31:0]
63
@28
64
gfx_bench.wbs_cyc_i
65
@22
66
gfx_bench.wbs_adr_i[31:0]
67
gfx_bench.wbs_dat_i[31:0]
68
@200
69
-REGS
70
@22
71
gfx_bench.top.renderer.target_base_i[31:2]
72
@24
73
gfx_bench.top.wb_databus.status_reg[31:0]
74
@200
75
-fifo
76
@28
77
gfx_bench.top.wb_databus.instruction_fifo.is_empty
78
gfx_bench.top.wb_databus.instruction_fifo.next_full
79
@200
80
-Wishbone reader
81
@28
82
gfx_bench.wbm_read_cyc_o
83
gfx_bench.wbm_read_ack_i
84
@22
85
gfx_bench.wbm_read_adr_o[31:0]
86
gfx_bench.wbm_read_dat_i[31:0]
87
gfx_bench.wbm_read_sel_o[3:0]
88
@28
89
gfx_bench.top.wbm_reader.read_request_i
90
@200
91
-Rasterizer
92
@28
93
gfx_bench.top.rasterizer0.interp_ack_i
94
gfx_bench.top.raster_interp_write
95
gfx_bench.top.raster_clip_write
96
gfx_bench.top.rasterizer0.interp_ready
97
@24
98
gfx_bench.top.rasterizer0.ack_counter[4:0]
99
gfx_bench.top.rasterizer0.state[2:0]
100
@28
101
gfx_bench.top.rasterizer0.ack_i
102
gfx_bench.top.rasterizer0.triangle_ack
103
gfx_bench.top.rasterizer0.triangle_write_i
104
gfx_bench.top.rasterizer0.rect_write_i
105
gfx_bench.top.rasterizer0.line_write_i
106
gfx_bench.top.rasterizer0.ack_o
107
gfx_bench.top.rasterizer0.triangle.triangle_line_active
108
gfx_bench.top.rasterizer0.triangle.triangle_line_done
109
gfx_bench.top.rasterizer0.triangle.triangle_valid_pixel
110
@24
111
gfx_bench.top.rasterizer0.triangle_write_o
112
gfx_bench.top.rasterizer0.triangle_x_o[15:0]
113
gfx_bench.top.rasterizer0.triangle_y_o[15:0]
114
gfx_bench.top.rasterizer0.interp_write_o
115
gfx_bench.top.rasterizer0.x_counter_o[15:0]
116
gfx_bench.top.rasterizer0.y_counter_o[15:0]
117
@200
118
-fp
119
@28
120
gfx_bench.top.fp0.state[1:0]
121
@24
122
gfx_bench.top.fp0.ack_i
123
gfx_bench.top.fp0.ack_o
124
gfx_bench.top.fp0.bezier_draw
125
gfx_bench.top.fp0.curve_write_i
126
gfx_bench.top.fp0.texture_enable_i
127
gfx_bench.top.fp0.colorkey_enable_i
128
gfx_bench.top.fp0.colorkey_i[31:0]
129
gfx_bench.top.fp0.global_alpha_i[7:0]
130
gfx_bench.top.fp0.pixel_alpha_o[7:0]
131
gfx_bench.top.fp0.pixel_color_i[31:0]
132
gfx_bench.top.fp0.pixel_color_o[31:0]
133
gfx_bench.top.fp0.pixel_offset[31:0]
134
gfx_bench.top.fp0.pixel_x_o[15:0]
135
gfx_bench.top.fp0.pixel_y_o[15:0]
136
gfx_bench.top.fp0.tex0_base_i[31:2]
137
gfx_bench.top.fp0.tex0_size_x_i[15:0]
138
gfx_bench.top.fp0.tex0_size_y_i[15:0]
139
gfx_bench.top.fp0.texture_addr_o[31:2]
140
gfx_bench.top.fp0.texture_request_o
141
gfx_bench.top.fp0.texture_sel_o[3:0]
142
gfx_bench.top.fp0.transparent_pixel
143
gfx_bench.top.fp0.u_i[15:0]
144
gfx_bench.top.fp0.v_i[15:0]
145
gfx_bench.top.fp0.write_i
146
gfx_bench.top.fp0.write_o
147
gfx_bench.top.fp0.x_counter_i[15:0]
148
gfx_bench.top.fp0.y_counter_i[15:0]
149
@28
150
gfx_bench.top.fp0.bezier_factor0_i[15:0]
151
gfx_bench.top.fp0.bezier_factor1_i[15:0]
152
@200
153
-uv
154
@29
155
gfx_bench.top.cuvz.factor0[15:0]
156
gfx_bench.top.cuvz.factor1[15:0]
157
gfx_bench.top.cuvz.factor2[15:0]
158
@24
159
gfx_bench.top.cuvz.u0_i[15:0]
160
gfx_bench.top.cuvz.u1_i[15:0]
161
gfx_bench.top.cuvz.u2_i[15:0]
162
gfx_bench.top.cuvz.u_o[15:0]
163
gfx_bench.top.cuvz.v_o[15:0]
164
[pattern_trace] 1
165
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.