OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [gtkwave_render.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[*]
2
[*] GTKWave Analyzer v3.3.34 (w)1999-2012 BSI
3
[*] Thu May 24 15:49:18 2012
4
[*]
5
[dumpfile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/render.vcd"
6
[dumpfile_mtime] "Thu May 24 15:49:00 2012"
7
[dumpfile_size] 4404
8
[savefile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/gtkwave_render.sav"
9
[timestart] 0
10
[size] 1366 744
11
[pos] -1 -1
12
*-4.235123 24 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] render_bench.
14
[treeopen] render_bench.render.
15
[sst_width] 223
16
[signals_width] 198
17
[sst_expanded] 1
18
[sst_vpaned_height] 228
19
@28
20
render_bench.clk_i
21
render_bench.rst_i
22
@200
23
-input
24
@28
25
render_bench.color_depth_i[1:0]
26
render_bench.render.zbuffer_enable_i
27
@22
28
render_bench.color_i[31:0]
29
@420
30
render_bench.pixel_x_i[15:0]
31
render_bench.pixel_y_i[15:0]
32
render_bench.render.pixel_z_i[15:0]
33
@22
34
render_bench.target_base_i[31:2]
35
@23
36
render_bench.render.zbuffer_base_i[31:2]
37
@22
38
render_bench.target_size_x_i[15:0]
39
render_bench.target_size_y_i[15:0]
40
@200
41
-pipeline
42
@24
43
render_bench.render.state[1:0]
44
@28
45
render_bench.write_i
46
render_bench.write_o
47
render_bench.render.ack_i
48
render_bench.render.ack_o
49
@200
50
-output
51
@22
52
render_bench.render_addr_o[31:2]
53
render_bench.render_dat_o[31:0]
54
render_bench.render_sel_o[3:0]
55
[pattern_trace] 1
56
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.