OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [gtkwave_wbm_w.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[timestart] 0
2
[size] 1366 744
3
[pos] -1 -1
4
*-14.000000 26370 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
5
[treeopen] wbm_w_bench.
6
@28
7
wbm_w_bench.wbm_w.clk_i
8
wbm_w_bench.wbm_w.rst_i
9
@200
10
-input
11
@28
12
wbm_w_bench.wbm_w.write_i
13
@200
14
-regs
15
@29
16
wbm_w_bench.wbm_w.ready_r
17
@28
18
wbm_w_bench.wbm_w.ready_o
19
@200
20
-wbm
21
@28
22
wbm_w_bench.wbm_w.cyc_o
23
wbm_w_bench.wbm_w.ack_i
24
[pattern_trace] 1
25
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.