OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [line.sav] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
[*]
2
[*] GTKWave Analyzer v3.3.34 (w)1999-2012 BSI
3
[*] Mon May 21 10:37:07 2012
4
[*]
5
[dumpfile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/line.vcd"
6
[dumpfile_mtime] "Mon May 21 10:36:34 2012"
7
[dumpfile_size] 16566
8
[savefile] "/home/orkaboy/dev/orsoc/openrisc_graphic-accelerator/bench/verilog/gfx/line.sav"
9
[timestart] 23
10
[size] 1366 744
11
[pos] -1 -1
12
*-5.000000 108 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] line_bench.
14
[sst_width] 223
15
[signals_width] 230
16
[sst_expanded] 1
17
[sst_vpaned_height] 219
18
@200
19
-sync
20
@28
21
line_bench.bresenham.clk_i
22
line_bench.bresenham.rst_i
23
line_bench.bresenham.busy_o
24
@200
25
-input
26
@420
27
line_bench.bresenham.pixel0_x_i[15:-16]
28
line_bench.bresenham.pixel0_y_i[15:-16]
29
line_bench.bresenham.pixel1_x_i[15:-16]
30
line_bench.bresenham.pixel1_y_i[15:-16]
31
@28
32
line_bench.bresenham.draw_line_i
33
line_bench.bresenham.read_pixel_i
34
@200
35
-bounds
36
@420
37
line_bench.bresenham.left_pixel_x[15:-16]
38
line_bench.bresenham.left_pixel_y[15:-16]
39
line_bench.bresenham.right_pixel_x[15:-16]
40
line_bench.bresenham.right_pixel_y[15:-16]
41
line_bench.bresenham.major_goal[15:0]
42
@28
43
line_bench.bresenham.is_inside_screen
44
@29
45
line_bench.bresenham.previously_outside_screen
46
@200
47
-calc
48
@420
49
line_bench.bresenham.xdiff[15:-16]
50
line_bench.bresenham.ydiff[15:-16]
51
@28
52
line_bench.bresenham.minor_slope_positive
53
@420
54
line_bench.bresenham.eps_delta_minor[31:-16]
55
@c00420
56
line_bench.bresenham.delta_major[15:-16]
57
@28
58
(0)line_bench.bresenham.delta_major[15:-16]
59
(1)line_bench.bresenham.delta_major[15:-16]
60
(2)line_bench.bresenham.delta_major[15:-16]
61
(3)line_bench.bresenham.delta_major[15:-16]
62
(4)line_bench.bresenham.delta_major[15:-16]
63
(5)line_bench.bresenham.delta_major[15:-16]
64
(6)line_bench.bresenham.delta_major[15:-16]
65
(7)line_bench.bresenham.delta_major[15:-16]
66
(8)line_bench.bresenham.delta_major[15:-16]
67
(9)line_bench.bresenham.delta_major[15:-16]
68
(10)line_bench.bresenham.delta_major[15:-16]
69
(11)line_bench.bresenham.delta_major[15:-16]
70
(12)line_bench.bresenham.delta_major[15:-16]
71
(13)line_bench.bresenham.delta_major[15:-16]
72
(14)line_bench.bresenham.delta_major[15:-16]
73
(15)line_bench.bresenham.delta_major[15:-16]
74
(16)line_bench.bresenham.delta_major[15:-16]
75
(17)line_bench.bresenham.delta_major[15:-16]
76
(18)line_bench.bresenham.delta_major[15:-16]
77
(19)line_bench.bresenham.delta_major[15:-16]
78
(20)line_bench.bresenham.delta_major[15:-16]
79
(21)line_bench.bresenham.delta_major[15:-16]
80
(22)line_bench.bresenham.delta_major[15:-16]
81
(23)line_bench.bresenham.delta_major[15:-16]
82
(24)line_bench.bresenham.delta_major[15:-16]
83
(25)line_bench.bresenham.delta_major[15:-16]
84
(26)line_bench.bresenham.delta_major[15:-16]
85
(27)line_bench.bresenham.delta_major[15:-16]
86
(28)line_bench.bresenham.delta_major[15:-16]
87
(29)line_bench.bresenham.delta_major[15:-16]
88
(30)line_bench.bresenham.delta_major[15:-16]
89
(31)line_bench.bresenham.delta_major[15:-16]
90
@1401200
91
-group_end
92
@420
93
line_bench.bresenham.delta_minor[15:-16]
94
line_bench.bresenham.eps[31:-16]
95
@24
96
line_bench.bresenham.state[2:0]
97
@200
98
-output
99
@24
100
line_bench.bresenham.major_o[15:0]
101
line_bench.bresenham.minor_o[15:0]
102
@28
103
line_bench.bresenham.x_major_o
104
line_bench.bresenham.valid_o
105
[pattern_trace] 1
106
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.