OpenCores
URL https://opencores.org/ocsvn/orsoc_graphics_accelerator/orsoc_graphics_accelerator/trunk

Subversion Repositories orsoc_graphics_accelerator

[/] [orsoc_graphics_accelerator/] [trunk/] [bench/] [verilog/] [gfx/] [wbm_r_bench.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Orka
`include "../../../rtl/verilog/gfx/gfx_wbm_read.v"
2
 
3
module wbm_r_bench();
4
// wishbone signals
5
reg         clk_i;    // master clock reg
6
reg         rst_i;    // synchronous active high reset
7
wire        cyc_o;    // cycle wire
8
wire        stb_o;    // strobe output
9
wire [ 2:0] cti_o;    // cycle type id
10
wire [ 1:0] bte_o;    // burst type extension
11
wire        we_o;     // write enable wire
12
wire [31:0] adr_o;    // address wire
13
wire [ 3:0] sel_o;    // byte select wires (only 32bits accesses are supported)
14
reg         ack_i;    // wishbone cycle acknowledge
15
reg         err_i;    // wishbone cycle error
16
reg [31:0]  dat_i;    // wishbone data in
17
 
18
wire        sint_o;     // non recoverable error, interrupt host
19
 
20
// Renderer stuff
21
reg read_request_i;
22
 
23
reg [31:2] texture_addr_i;
24
reg [3:0]  texture_sel_i;
25
wire [31:0] texture_dat_o;
26
wire texture_data_ack;
27
 
28
initial begin
29
  $dumpfile("wbm_r.vcd");
30
  $dumpvars(0,wbm_r_bench);
31
 
32
// init values
33
  ack_i = 0;
34
  clk_i = 1;
35
  rst_i = 1;
36
  read_request_i = 0;
37
  err_i = 0;
38
  texture_sel_i = 4'hf;
39
  dat_i = 0;
40
  texture_addr_i = 0;
41
 
42
//timing
43
 #4 rst_i =0;
44
 #2 read_request_i = 1;
45
 #2 read_request_i = 0;
46
 
47
// end sim
48
  #100 $finish;
49
end
50
 
51
always begin
52
  #1 ack_i = !ack_i & cyc_o;
53
end
54
 
55
always begin
56
  #1 clk_i = ~clk_i;
57
end
58
 
59
gfx_wbm_read wbm_r(
60
// WB signals
61
.clk_i (clk_i),
62
.rst_i (rst_i),
63
.cyc_o (cyc_o),
64
.stb_o (stb_o),
65
.cti_o (cti_o),
66
.bte_o (bte_o),
67
.we_o (we_o),
68
.adr_o (adr_o),
69
.sel_o (sel_o),
70
.ack_i (ack_i),
71
.err_i (err_i),
72
.dat_i (dat_i),
73
.sint_o (sint_o),
74
// Control signals
75
.read_request_i (read_request_i),
76
.texture_addr_i (texture_addr_i),
77
.texture_sel_i (texture_sel_i),
78
.texture_dat_o (texture_dat_o),
79
.texture_data_ack (texture_data_ack)
80
);
81
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.