OpenCores
URL https://opencores.org/ocsvn/pcounter/pcounter/trunk

Subversion Repositories pcounter

[/] [pcounter/] [trunk/] [Makefile] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robotron
UNITS=pdchain.vhdl  pdivtwo.vhdl
2
UNIT_TOP=pcount_tb.vhdl
3
 
4
TOP_EXE=$(patsubst %.vhdl,%,$(UNIT_TOP))
5
COPT=--ieee=synopsys -g
6
ROPT=--vcd=$(TOP_EXE).vcd --stop-time=10us
7
 
8
 
9
all: $(TOP_EXE) pcount
10
 
11
testvcd: $(TOP_EXE)
12
        ghdl -r $(TOP_EXE) $(ROPT)
13
 
14
testrun: $(TOP_EXE) pcount
15
        ghdl -r $(TOP_EXE) | ./pcount
16
 
17
$(TOP_EXE): $(UNITS) $(UNIT_TOP)
18
        ghdl -i $(UNITS) $(UNIT_TOP)
19
        ghdl -a $(COPT) $(UNIT_TOP)
20
        ghdl -m $(COPT) $(TOP_EXE)
21
 
22
pcount: pcount.c
23
        $(CC) -Wall -O -o $@ $<
24
 
25
clean:
26
        rm -rf $(TOP_EXE) pcount
27
        ghdl --remove

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.