OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [msi/] [retrigg_timer/] [retrigg_timer.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dk4xp
-- retriggerable timer
2
-- (c) 2005..2010 Gerhard Hoffmann opencores@hoffmann-hochfrequenz.de
3
.. V1.0 published under BSD license
4
--
5
-- delivers a done pulse TICKS clocks after a do command.
6
 
7
 
8
library IEEE;
9
use IEEE.STD_LOGIC_1164.ALL;
10
use IEEE.numeric_std.all;
11
 
12
 
13
 
14
 
15
entity retrigg_timer is
16
        generic (
17
                ticks: integer  range 2 to integer'high  -- clock ticks between do and done
18
        );
19
 
20
        port (
21
 
22
        clk:     in  std_logic;
23
        rst:     in  std_logic;
24
 
25
        do:      in  std_logic;
26
        done:    out std_logic;
27
        running: out std_logic
28
);
29
end retrigg_timer;
30
 
31
 
32
 
33
 
34
 
35
architecture rtl of retrigg_timer is
36
 
37
        signal tctr:                             integer range 0 to ticks;
38
        signal irunning: std_logic;
39
        signal idone:                    std_logic;
40
 
41
 
42
        function bool2sl (b: boolean) return std_logic is
43
        begin
44
                if b  then return '1'; else return '0';  end if;
45
        end function bool2sl;
46
 
47
 
48
begin
49
 
50
u_timer: process(clk) is
51
begin
52
        if rising_edge(clk)
53
        then
54
 
55
                if rst = '1'
56
                then
57
                        tctr     <= 0;
58
                        irunning <= '0';
59
 
60
                elsif (do = '1')
61
                then
62
                        tctr     <= ticks -1;
63
                        irunning <= '1';
64
 
65
                elsif ((irunning = '1') and (idone = '0'))
66
 
67
                then
68
                        tctr <= tctr - 1;
69
 
70
                elsif (idone = '1')
71
                then
72
                        irunning <= '0';
73
 
74
                end if; -- rst
75
 
76
        -- we are not done when a retrigger comes
77
        -- just before the timeout
78
                idone <= bool2sl((tctr = 1) and (do /= '1'));
79
 
80
        end if; -- rising_edge()
81
end process u_timer;
82
 
83
done    <= idone;
84
running <= irunning;
85
 
86
end architecture rtl;
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.