OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [msi/] [retrigg_timer/] [retrigg_timer_tb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dk4xp
----------------------------------------------------------------------------------------------------
2
-- (c) 2005..2010 Gerhard Hoffmann opencores@hoffmann-hochfrequenz.de
3
.. V1.0 published under BSD license
4
----------------------------------------------------------------------------------------------------
5
-- Tool versions:   Modelsim, ISE 6 .. 10
6
-- Description:     testbed for retriggerable timer
7
 
8
-- calls lib:       ieee standard
9
-- calls entities:  clk_rst, retrigg_timer
10
----------------------------------------------------------------------------------------------------
11
 
12
library IEEE;
13
use IEEE.STD_LOGIC_1164.ALL;
14
use IEEE.numeric_std.all;
15
 
16
entity retrigg_timer_tb is begin end retrigg_timer_tb;
17
 
18
 
19
architecture tb of retrigg_timer_tb is
20
 
21
  signal rst, clk: std_logic;
22
  signal do, done, running: std_logic;
23
 
24
begin
25
 
26
 
27
 
28
u_clk_rst: entity work.clk_rst
29
 
30
  generic  map(
31
    verbose           => false,
32
    clock_frequency   => 100.0e6,
33
    min_resetwidth    => 46 ns
34
  )
35
 
36
  port map(
37
    clk               => clk,
38
    rst               => rst
39
  );
40
 
41
 
42
 
43
do <= '0',
44
      '1' after  95 ns, '0' after 105 ns,  -- trigger first time
45
      '1' after 205 ns, '0' after 215 ns,  -- trigger second time
46
      '1' after 245 ns, '0' after 255 ns;  -- and once more to test retrigger
47
 
48
 
49
 
50
uut: entity work.retrigg_timer
51
 
52
  generic map (
53
    ticks     => 5
54
  )
55
 
56
  port map (
57
    clk       => clk,
58
    rst       => rst,
59
 
60
    do        => do,
61
    done      => done,
62
    running   => running
63
  );
64
 
65
 
66
end tb;
67
 
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.