OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [tb/] [PowerSupply/] [PowerSupply_tb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dk4xp
-- Testbed for the power supply
2
-- (c) 2009.. Gerhard Hoffmann  opencores@hoffmann-hochfrequenz.de
3
-- published under BSD conditions.
4
 
5
 
6
library IEEE;
7
use IEEE.STD_LOGIC_1164.ALL;
8
use IEEE.numeric_std.all;
9
 
10
 
11
 
12
entity PowerSupply_tb is end entity PowerSupply_tb;
13
 
14
 
15
architecture tb of PowerSupply_tb is
16
 
17
  signal defective: boolean;
18
  signal ena:       std_logic;
19
  signal pgood:     std_logic;
20
  signal vout:      real;
21
 
22
begin
23
 
24
ena       <= '0', '1' after 10 ms, '0' after 20 ms, '1' after 35 ms;
25
defective <= false, true after 50 ms;
26
 
27
 
28
uut: entity work.PowerSupply
29
 
30
generic map (
31
  voltage    => 3.3,
32
  risetime   => 2.0e-3
33
)
34
 
35
port map (
36
  defective => defective,
37
  ena       => ena,
38
  pgood     => pgood,
39
  vout      => vout
40
);
41
 
42
end architecture tb;
43
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.