OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [tb/] [clk_rst/] [clk_rst_tb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dk4xp
--
2
--  testbed for entity clk_rst.vhd
3
--  (c) jul 2007...  Gerhard Hoffmann, opencores@hoffmann-hochfrequenz.de
4
--  open source under BSD conditions
5
 
6
library IEEE;
7
use     IEEE.STD_LOGIC_1164.all;
8
use     IEEE.numeric_std.all;
9
 
10
 
11
entity clk_rst_tb is
12
end entity clk_rst_tb;
13
 
14
architecture rtl of clk_rst_tb is
15
 
16
signal tb_clk: std_logic;
17
signal tb_rst: std_logic;
18
 
19
begin
20
 
21
uut: entity work.clk_rst
22
  generic  map(
23
    verbose           => true,
24
    clock_frequency   => 100.0e6,
25
    min_resetwidth    => 153 ns
26
  )
27
  port map(
28
    clk               => tb_clk,
29
    rst               => tb_rst
30
  );
31
 
32
 
33
end architecture rtl;
34
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.