OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_config.svh] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class vf_8b10b_config;
29
 
30
  virtual deserializer_8b10b_bfm_if vif;
31
  protected uvm_active_passive_enum is_active; // UVM_ACTIVE or UVM_PASSIVE
32
  int pixels_per_line;
33
  int lines_per_frame;
34
  int bits_per_pixel;
35
  int bytes_per_pixel;
36
  string name;
37
  int channel_id;
38
  logic [8:0] sof_8b  = 9'b1_000_11100; // Start of Frame (SOF): K28.0
39
  logic [8:0] eol_8b  = 9'b1_010_11100; // End of Line (EOL): K28.2
40
  logic [8:0] eof_8b  = 9'b1_011_11100; // End of Frame (EOF): K28.3
41
  logic [8:0] idle_8b = 9'b1_101_11100; // All IDLEs or NULLS: K28.5
42
 
43
  // --------------------------------------------------------------------
44
  function void init
45
  ( int pixels_per_line
46
  , int lines_per_frame
47
  , int bits_per_pixel
48 47 qaztronic
  , int channel_id = 0
49 46 qaztronic
  , string    name = ""
50
  );
51
    this.pixels_per_line  = pixels_per_line;
52
    this.lines_per_frame  = lines_per_frame;
53
    this.bits_per_pixel   = bits_per_pixel;
54 47 qaztronic
    this.channel_id       = channel_id;
55 46 qaztronic
    this.name             = name;
56
    this.bytes_per_pixel  = (bits_per_pixel % 8 == 0)
57
                          ? (bits_per_pixel / 8)
58
                          : (bits_per_pixel / 8) + 1;
59
  endfunction: init
60
 
61
  // --------------------------------------------------------------------
62
  function new
63
  (  virtual deserializer_8b10b_bfm_if vif
64
  ,  uvm_active_passive_enum is_active = UVM_PASSIVE
65
  );
66
    this.vif = vif;
67
    this.is_active = is_active;
68
  endfunction : new
69
 
70
  // --------------------------------------------------------------------
71
  function uvm_active_passive_enum get_is_active();
72
    return is_active;
73
  endfunction : get_is_active
74
 
75
// --------------------------------------------------------------------
76
endclass : vf_8b10b_config

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.