OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_rx_agent.svh] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class vf_8b10b_rx_agent
29
  extends uvm_agent;
30
   `uvm_component_utils(vf_8b10b_rx_agent)
31
 
32
  // --------------------------------------------------------------------
33
  vf_8b10b_config cfg_h;
34
  vf_8b10b_monitor monitor_h;
35
 
36
  // --------------------------------------------------------------------
37
  virtual function void build_phase(uvm_phase phase);
38
    super.build_phase(phase);
39
    monitor_h = vf_8b10b_monitor::type_id::create("monitor_h", this);
40
  endfunction
41
 
42
  // --------------------------------------------------------------------
43
  virtual function void connect_phase(uvm_phase phase);
44
    super.connect_phase(phase);
45
    monitor_h.vif = cfg_h.vif;
46
    monitor_h.cfg_h = cfg_h;
47
  endfunction
48
 
49
  // --------------------------------------------------------------------
50
   function new(string name, uvm_component parent);
51
      super.new(name, parent);
52
   endfunction
53
 
54
// --------------------------------------------------------------------
55
endclass : vf_8b10b_rx_agent

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.