OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_scoreboard.svh] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class vf_8b10b_scoreboard extends uvm_subscriber #(vf_8b10b_sequence_item);
29
  `uvm_component_utils(vf_8b10b_scoreboard);
30
 
31
  // --------------------------------------------------------------------
32
  function new (string name, uvm_component parent);
33
    super.new(name, parent);
34
  endfunction : new
35
 
36
  // --------------------------------------------------------------------
37
  function void build_phase(uvm_phase phase);
38
  endfunction : build_phase
39
 
40
  // --------------------------------------------------------------------
41
  //
42
  function void print_video_frame(ref video_frame_class f_h);
43
    string s;
44
    f_h.print_config();
45
    $display(f_h.convert2string());
46
  endfunction : print_video_frame
47
 
48
  // --------------------------------------------------------------------
49
  function void write(vf_8b10b_sequence_item t);
50
    print_video_frame(t.f_h);
51
  endfunction : write
52
 
53
  // --------------------------------------------------------------------
54
  function void report_phase(uvm_phase phase);
55
    // uvm_report_info(get_name(), $sformatf("Matches   : %0d", m_matches));
56
    // uvm_report_info(get_name(), $sformatf("Mismatches: %0d", m_mismatches));
57
  endfunction
58
 
59
// --------------------------------------------------------------------
60
endclass : vf_8b10b_scoreboard

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.