OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [8b10b/] [video_frame/] [vf_8b10b_sequence_item.svh] - Blame information for rev 49

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
// // --------------------------------------------------------------------
29
// typedef enum {AVF_REQUEST, AVF_TRANSACTION} avf_sequence_item_t;
30
 
31
// --------------------------------------------------------------------
32
class vf_8b10b_sequence_item
33
  extends uvm_sequence_item;
34
  `uvm_object_utils(vf_8b10b_sequence_item)
35
 
36
  // --------------------------------------------------------------------
37
  video_frame_class f_h;
38
  int frame_id;
39
  time sof_timestamp;
40
  time eof_timestamp;
41
 
42
  // --------------------------------------------------------------------
43
  function new(string name = "");
44
    super.new(name);
45
  endfunction : new
46
 
47
  // // --------------------------------------------------------------------
48
  // function bit do_compare(uvm_object rhs, uvm_comparer comparer);
49
    // vf_8b10b_sequence_item tested;
50
    // bit same;
51
 
52
    // if (rhs==null)
53
      // `uvm_fatal(get_type_name(), "| %m | comparison to a null pointer");
54
 
55
    // if (!$cast(tested,rhs))
56
      // same = 0;
57
    // else
58
      // same  = super.do_compare(rhs, comparer);
59
 
60
    // return same;
61
  // endfunction : do_compare
62
 
63
  // // --------------------------------------------------------------------
64
  // function void do_copy(uvm_object rhs);
65
    // vf_8b10b_sequence_item item;
66
    // assert(rhs != null) else
67
      // `uvm_fatal(get_type_name(), "| %m | copy null transaction");
68
    // super.do_copy(rhs);
69
    // assert($cast(item,rhs)) else
70
      // `uvm_fatal(get_type_name(), "| %m | failed cast");
71
    // delay     = item.delay;
72
    // command   = item.command;
73
    // wr_full   = item.wr_full;
74
    // rd_empty  = item.rd_empty;
75
    // wr_data   = item.wr_data;
76
    // rd_data   = item.rd_data;
77
    // count     = item.count;
78
  // endfunction : do_copy
79
 
80
  // // --------------------------------------------------------------------
81
  // function string convert2string();
82
    // string s0, s1, s2, s3;
83
    // s0 = $sformatf( "| %m | wr | rd | full | empty |\n");
84
    // s1 = $sformatf( "| %m | %1h  | %1h  | %1h    | %1h     |\n"
85
                  // , (command == FIFO_WR) || (command == FIFO_BOTH)
86
                  // , (command == FIFO_RD) || (command == FIFO_BOTH)
87
                  // , wr_full
88
                  // , rd_empty
89
                  // );
90
    // s2 = $sformatf("| %m | wr_data: %h\n" , wr_data);
91
    // s3 = $sformatf("| %m | rd_data: %h\n" , rd_data);
92
 
93
    // if(command == FIFO_NULL)
94
      // return {s1, s0};
95
    // else if(command == FIFO_BOTH)
96
      // return {s3, s2, s1, s0};
97
    // else if(command == FIFO_WR)
98
      // return {s2, s1, s0};
99
    // else if(command == FIFO_RD)
100
      // return {s3, s1, s0};
101
  // endfunction : convert2string
102
 
103
// --------------------------------------------------------------------
104
endclass : vf_8b10b_sequence_item

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.