OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_agent.svh] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28 50 qaztronic
class spi_agent #(N=1)
29 47 qaztronic
  extends uvm_agent;
30 50 qaztronic
   `uvm_component_param_utils(spi_agent #(N))
31 47 qaztronic
 
32
  // --------------------------------------------------------------------
33 50 qaztronic
  virtual spi_if #(N) vif;
34
  spi_driver #(N) driver_h;
35 47 qaztronic
  spi_sequencer sequencer_h;
36
 
37
  // --------------------------------------------------------------------
38
  virtual function void build_phase(uvm_phase phase);
39 50 qaztronic
    driver_h = spi_driver #(N)::type_id::create("driver_h", this);
40 47 qaztronic
    sequencer_h = spi_sequencer::type_id::create("sequencer_h", this);
41
  endfunction
42
 
43
  // --------------------------------------------------------------------
44
  virtual function void connect_phase(uvm_phase phase);
45
    driver_h.vif = vif;
46
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
47
  endfunction
48
 
49
  // --------------------------------------------------------------------
50
   function new(string name, uvm_component parent);
51
      super.new(name, parent);
52
   endfunction
53
 
54
// --------------------------------------------------------------------
55 50 qaztronic
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.