OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_driver.svh] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28 50 qaztronic
class spi_driver #(N)
29 47 qaztronic
  extends uvm_driver #(spi_sequence_item);
30 50 qaztronic
   `uvm_component_param_utils(spi_driver #(N))
31 47 qaztronic
 
32
  // --------------------------------------------------------------------
33 50 qaztronic
  virtual spi_if #(N) vif;
34 47 qaztronic
 
35
  //--------------------------------------------------------------------
36
  function void set_default;
37
    vif.sclk <= 0;
38 50 qaztronic
    vif.ss_n <= '1;
39 47 qaztronic
    vif.mosi <= 'x;
40
  endfunction: set_default
41
 
42
  //--------------------------------------------------------------------
43
  virtual task run_phase(uvm_phase phase);
44
    spi_sequence_item item;
45 48 qaztronic
    int index;
46 47 qaztronic
 
47
    set_default();
48
 
49
    forever
50
    begin
51 48 qaztronic
      index = 0;
52 47 qaztronic
      seq_item_port.get_next_item(item);
53
 
54 50 qaztronic
      vif.ss_n <= ~(1 << item.ss_index);
55
 
56 48 qaztronic
      vif.mosi <= item.mosi_data[index];
57 47 qaztronic
      #(vif.period / 2);
58
 
59
      fork
60
        repeat(item.data_width)
61
        begin
62
          #(vif.period / 2);
63
          vif.sclk <= 1;
64
          #(vif.period / 2);
65
          vif.sclk <= 0;
66
        end
67
      join_none
68
 
69
      repeat(item.data_width)
70
      begin
71
        @(vif.cb_rise);
72 48 qaztronic
        item.miso_data[index] = vif.miso;
73 47 qaztronic
        index++;
74
        @(vif.cb_fall);
75 48 qaztronic
        vif.mosi <= item.mosi_data[index];
76 47 qaztronic
      end
77
 
78
      #(vif.period / 2);
79 50 qaztronic
      vif.ss_n <= '1;
80 47 qaztronic
 
81
      set_default();
82
      seq_item_port.item_done();
83
    end
84
 
85
  endtask : run_phase
86
 
87
  //--------------------------------------------------------------------
88
  function new(string name, uvm_component parent);
89
    super.new(name, parent);
90
  endfunction
91
 
92
// --------------------------------------------------------------------
93 50 qaztronic
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.