OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [tb_spi_pkg.sv] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
package tb_spi_pkg;
29
  import uvm_pkg::*;
30
  `include "uvm_macros.svh"
31
  import bfm_pkg::*;
32
 
33
  // // --------------------------------------------------------------------
34
  // localparam W = 16;
35
  // localparam D = 8;
36
  // localparam UB = $clog2(D);
37
 
38
  // --------------------------------------------------------------------
39
  // typedef enum {FIFO_RD, FIFO_WR, FIFO_BOTH, FIFO_NULL} fifo_command_t;
40
 
41
  // --------------------------------------------------------------------
42
  `include "spi_sequence_item.svh"
43
  typedef uvm_sequencer #(spi_sequence_item) spi_sequencer;
44
  `include "spi_driver.svh"
45
  // `include "spi_monitor.svh"
46
  // `include "spi_scoreboard.svh"
47
  `include "spi_agent.svh"
48
  // `include "tb_env.svh"
49
 
50
  // `include "s_debug.svh"
51
  // `include "t_top_base.svh"
52
  // `include "t_debug.svh"
53
 
54
// --------------------------------------------------------------------
55
endpackage : tb_spi_pkg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.