OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [avf_master_agent.svh] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 45 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class avf_master_agent #(N, U)
29
  extends uvm_agent;
30
   `uvm_component_param_utils(avf_master_agent #(N, U))
31
 
32
  // --------------------------------------------------------------------
33
  avf_config #(N, U) cfg_h;
34
  avf_master_sequencer sequencer_h;
35
  avf_master_driver #(N, U) driver_h;
36
  avf_monitor #(N, U) monitor_h;
37
 
38
  // --------------------------------------------------------------------
39
  virtual function void build_phase(uvm_phase phase);
40
    super.build_phase(phase);
41
    if(is_active == UVM_ACTIVE)
42
    begin
43
      driver_h = avf_master_driver #(N, U)::type_id::create("driver_h", this);
44
      sequencer_h = avf_master_sequencer::type_id::create("sequencer_h", this);
45
    end
46
    monitor_h = avf_monitor #(N, U)::type_id::create("monitor_h", this);
47
  endfunction
48
 
49
  // --------------------------------------------------------------------
50
  virtual function void connect_phase(uvm_phase phase);
51
    super.connect_phase(phase);
52
    if(is_active == UVM_ACTIVE)
53
    begin
54
      driver_h.vif = cfg_h.vif;
55
      driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
56
    end
57
    monitor_h.vif = cfg_h.vif;
58
    monitor_h.cfg_h = cfg_h;
59
  endfunction
60
 
61
  // --------------------------------------------------------------------
62
   function new(string name, uvm_component parent);
63
      super.new(name, parent);
64
   endfunction
65
 
66
// --------------------------------------------------------------------
67
endclass : avf_master_agent

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.