OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [tb/] [legacy/] [tb_clk_class.sv] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
`timescale 1ps/1ps
29
 
30
 
31
// --------------------------------------------------------------------
32
//
33
interface tb_clk_if;
34
  logic clk = 0;
35
  logic enable = 0;
36
  time period;
37
  event clk_rise;
38
  event clk_fall;
39
 
40
  modport tb_m
41
  (
42
    output clk
43
  );
44
endinterface: tb_clk_if
45
 
46
 
47
// --------------------------------------------------------------------
48
//
49
class
50
  tb_clk_class;
51
 
52
  virtual tb_clk_if tb;
53
 
54
  // --------------------------------------------------------------------
55
  //
56
  function
57
    new
58
    (
59
      virtual tb_clk_if tb
60
    );
61
 
62
    this.tb = tb;
63
  endfunction: new
64
 
65
 
66
  // --------------------------------------------------------------------
67
  //
68
  task
69
    init_basic_clock
70
    (
71
      time period
72
    );
73
 
74
    tb.period = period;
75
    tb.enable = 1;
76
 
77
    $display( "^^^ %16.t | %m | Starting clock with period %t.", $time, period );
78
 
79
    fork
80
      forever
81
        if( tb.enable )
82
          begin
83
            #(period/2) tb.clk = 1;
84
            -> tb.clk_rise;
85
            #(period/2) tb.clk = 0;
86
            -> tb.clk_fall;
87
          end
88
    join_none
89
 
90
  endtask: init_basic_clock
91
 
92
 
93
  // --------------------------------------------------------------------
94
  //
95
  task
96
    enable_clock
97
    (
98
      logic enable
99
    );
100
 
101
    tb.enable = enable;
102
 
103
    $display( "^^^ %16.t | %m | Clock Enable =  %h.", $time, enable );
104
 
105
  endtask: enable_clock
106
 
107
endclass: tb_clk_class
108
 
109
 
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.