OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [tb/] [legacy/] [tb_clk_pkg.sv] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
`timescale 1ps/1ps
29
 
30
 
31
// --------------------------------------------------------------------
32
//
33
interface tb_clk_if;
34
  logic clk = 0;
35
  logic enable = 0;
36
  time period;
37
  event clk_rise;
38
  event clk_fall;
39
 
40
  modport tb_m
41
  (
42
    output clk
43
  );
44
endinterface: tb_clk_if
45
 
46
 
47
// --------------------------------------------------------------------
48
//
49
package tb_clk_pkg;
50
 
51
  // --------------------------------------------------------------------
52
  //
53
  class
54
    tb_clk_class;
55
 
56
    virtual tb_clk_if tb;
57
 
58
    // --------------------------------------------------------------------
59
    //
60
    function
61
      new
62
      (
63
        virtual tb_clk_if tb
64
      );
65
 
66
      this.tb = tb;
67
    endfunction: new
68
 
69
 
70
    // --------------------------------------------------------------------
71
    //
72
    task
73
      init_basic_clock
74
      (
75
        time period
76
      );
77
 
78
      tb.period = period;
79
      tb.enable = 1;
80
 
81
      $display( "^^^ %16.t | %m | Starting clock with period %t.", $time, period );
82
 
83
      fork
84
        forever
85
          if( tb.enable )
86
            begin
87
              #(period/2) tb.clk = 1;
88
              -> tb.clk_rise;
89
              #(period/2) tb.clk = 0;
90
              -> tb.clk_fall;
91
            end
92
      join_none
93
 
94
    endtask: init_basic_clock
95
 
96
 
97
    // --------------------------------------------------------------------
98
    //
99
    task
100
      enable_clock
101
      (
102
        logic enable
103
      );
104
 
105
      tb.enable = enable;
106
 
107
      $display( "^^^ %16.t | %m | Clock Enable =  %h.", $time, enable );
108
 
109
    endtask: enable_clock
110
 
111
  // --------------------------------------------------------------------
112
  //
113
  endclass: tb_clk_class
114
 
115
//--------------------------------------------------------------------
116
//
117
endpackage: tb_clk_pkg
118
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.