OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [src/] [tb_riffa_debug/] [tb_env.svh] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class tb_env extends uvm_env;
29
  `uvm_component_utils(tb_env);
30
 
31
  // --------------------------------------------------------------------
32
  riffa_env #(N) riffa_env_h;
33
  riffa_config #(N) cfg_h;
34
 
35
  // --------------------------------------------------------------------
36
  function new (string name, uvm_component parent);
37
    super.new(name,parent);
38
  endfunction : new
39
 
40
  // --------------------------------------------------------------------
41
  function void build_phase(uvm_phase phase);
42
    if (!uvm_config_db#(riffa_config #(N))::get(this, "", "riffa_config", cfg_h))
43
      `uvm_fatal(get_name(), "Couldn't get config object!")
44
 
45
    riffa_env_h = riffa_env #(N)::type_id::create("riffa_env_h", this);
46
    riffa_env_h.cfg_h = cfg_h;
47
  endfunction : build_phase
48
 
49
// --------------------------------------------------------------------
50
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.