OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_register_file/] [vs_debug.svh] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
// --------------------------------------------------------------------
2
class vs_debug extends vs_top_base;
3
  `uvm_object_utils(vs_debug)
4
 
5
  // --------------------------------------------------------------------
6
  function new(string name = "vs_debug");
7
    super.new(name);
8
  endfunction
9
 
10
  // --------------------------------------------------------------------
11
  task body();
12
    int size = B * (N / 4);
13
    tx_api_h.init(tx_h, this, N);
14
    rx_api_h.init(rx_h, this, N);
15
 
16
    tx_api_h.counting(N , size, 0);
17
    // rx_api_h.display(N , size, 0);
18
    rx_api_h.get(N , size, 0);
19
  endtask: body
20
 
21
// --------------------------------------------------------------------
22
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.