OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_chnl_tx.sv] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  riffa_chn_tx
30
  #(
31
    N, // data bus width in bytes
32
    D = 2 // TX data fifo depth
33
  )
34
  (
35
    riffa_chnl_if chnl_in,
36
    input tx_ready,
37
    input tx_done,
38
    output reg [31:0] tx_index,
39
    input tx_last,
40
    input [31:0] tx_len,
41
    input [30:0] tx_off,
42
 
43
    input clk,
44
    input reset
45
  );
46
 
47
  // --------------------------------------------------------------------
48
  //
49
  localparam RW = (N/4); // width of the bus in 32 bit words
50
 
51
 
52
  // --------------------------------------------------------------------
53
  //
54
  riffa_chnl_tx_fsm
55
    riffa_chnl_tx_fsm_i
56
    (
57
      .tx(chnl_in.tx),
58
      .tx_ack(chnl_in.tx_ack),
59
      .*
60
    );
61
 
62
 
63
  // --------------------------------------------------------------------
64
  //
65
  always_ff @(posedge clk)
66
    if(reset | ~chnl_in.tx)
67
      tx_index = 0;
68
    else if(chnl_in.tx_data_valid & chnl_in.tx_data_ren)
69
      tx_index <= tx_index + RW;
70
 
71
 
72
// --------------------------------------------------------------------
73
//
74
endmodule
75
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.