OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lib/] [src/] [axi4_if.sv] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
interface
30
  axi4_if
31
  #(
32
    DATA_WIDTH = 64
33
  )
34
  (
35
    input                   aresetn,
36
    input                   aclk
37
  );
38
 
39
    wire                    arready;
40
    wire                    arregion;
41
    wire                    awready;
42
    wire                    awregion;
43
    wire                    bvalid;
44
    wire                    rlast;
45
    wire                    rvalid;
46
    wire                    wready;
47
    wire [1:0]              bresp;
48
    wire [1:0]              rresp;
49
    wire [5:0]              bid;
50
    wire [5:0]              rid;
51
    wire [DATA_WIDTH-1:0]   rdata;
52
    wire [7:0]              rcount;
53
    wire [7:0]              wcount;
54
    wire [2:0]              racount;
55
    wire [5:0]              wacount;
56
    wire                    arvalid;
57
    wire                    awvalid;
58
    wire                    bready;
59
    wire                    rready;
60
    wire                    wlast;
61
    wire                    wvalid;
62
    wire [1:0]              arburst;
63
    wire [1:0]              arlock;
64
    wire [2:0]              arsize;
65
    wire [1:0]              awburst;
66
    wire [1:0]              awlock;
67
    wire [2:0]              awsize;
68
    wire [2:0]              arprot;
69
    wire [2:0]              awprot;
70
    wire [31:0]             araddr;
71
    wire [31:0]             awaddr;
72
    wire [3:0]              arcache;
73
    wire [7:0]              arlen;
74
    wire [3:0]              arqos;
75
    wire [3:0]              awcache;
76
    wire [3:0]              awlen;
77
    wire [3:0]              awqos;
78
    wire [5:0]              arid;
79
    wire [5:0]              awid;
80
    wire [5:0]              wid;
81
    wire [DATA_WIDTH-1:0]   wdata;
82
    wire [DATA_WIDTH/8-1:0] wstrb;
83
 
84
  // --------------------------------------------------------------------
85
  //
86
  modport
87
    master
88
    (
89
      output  arid,
90
      output  araddr,
91
      output  arburst,
92
      output  arcache,
93
      output  arlen,
94
      output  arlock,
95
      output  arprot,
96
      output  arqos,
97
      input   arready,
98
      output  arregion,
99
      output  arsize,
100
      output  arvalid,
101
      output  awaddr,
102
      output  awburst,
103
      output  awcache,
104
      output  awlen,
105
      output  awlock,
106
      output  awprot,
107
      output  awqos,
108
      input   awready,
109
      output  awregion,
110
      output  awsize,
111
      output  awvalid,
112
      output  bready,
113
      input   bresp,
114
      input   bvalid,
115
      input   rdata,
116
      input   rlast,
117
      output  rready,
118
      input   rresp,
119
      input   rvalid,
120
      output  wdata,
121
      output  wlast,
122
      input   wready,
123
      output  wstrb,
124
      output  wvalid,
125
      input   aresetn,
126
      input   aclk
127
    );
128
 
129
  modport
130
    slave
131
    (
132
      input   arid,
133
      input   araddr,
134
      input   arburst,
135
      input   arcache,
136
      input   arlen,
137
      input   arlock,
138
      input   arprot,
139
      input   arqos,
140
      output  arready,
141
      input   arregion,
142
      input   arsize,
143
      input   arvalid,
144
      input   awaddr,
145
      input   awburst,
146
      input   awcache,
147
      input   awlen,
148
      input   awlock,
149
      input   awprot,
150
      input   awqos,
151
      output  awready,
152
      input   awregion,
153
      input   awsize,
154
      input   awvalid,
155
      input   bready,
156
      output  bresp,
157
      output  bvalid,
158
      output  rdata,
159
      output  rlast,
160
      input   rready,
161
      output  rresp,
162
      output  rvalid,
163
      input   wdata,
164
      input   wlast,
165
      output  wready,
166
      input   wstrb,
167
      input   wvalid,
168
      input   aresetn,
169
      input   aclk
170
    );
171
 
172
endinterface: axi4_if
173
 
174
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.