OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lib/] [src/] [axi4_m_to_write_fifos.sv] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  axi4_m_to_write_fifos
30
  #(
31
    A     = 32, // address bus width
32
    N     = 8,  // data bus width in bytes
33
    I     = 1,  // ID width
34
    W_D   = 32,
35
    B_D   = 2,
36
    AW_D  = 2,
37
    WATERMARK = 0,
38
    USE_ADVANCED_PROTOCOL = 0
39
  )
40
  (
41
    axi4_if axi4_m,
42
    axi4_if axi4_write_fifo,
43
    output  aw_wr_full,
44
    input   aw_wr_en,
45
    output  w_wr_full,
46
    input   w_wr_en,
47
    output  w_topped_off,
48
    output  w_watermark,
49
    output  b_rd_empty,
50
    input   b_rd_en,
51
    input   aclk,
52
    input   aresetn
53
  );
54
 
55
  // --------------------------------------------------------------------
56
  //
57
  localparam UB = $clog2(W_D);
58
 
59
 
60
  // --------------------------------------------------------------------
61
  //
62
  localparam W_W =
63
    8*N + // logic [(8*N)-1:0]  wdata;
64
    I +   // logic [(I-1):0]    wid;
65
    1 +   // logic              wlast;
66
    N;    // logic [N-1:0]      wstrb;
67
 
68
  localparam B_W =
69
    I +   // logic [(I-1):0]    bid;
70
    2;    // logic [1:0]        bresp;
71
 
72
  localparam AX_BASIC_W =
73
    A +  // logic [(A-1):0]    axaddr;
74
    2 +  // logic [1:0]        axburst;
75
    I +  // logic [(I-1):0]    axid;
76
    8 +  // logic [7:0]        axlen;
77
    3;   // logic [2:0]        axsize;
78
 
79
  localparam AX_ADVANCED_W =
80
    4 +   // logic [3:0]        axcache;
81
    1 +   // logic              axlock;
82
    3 +   // logic [2:0]        axprot;
83
    4 +   // logic [3:0]        axqos;
84
    4;    // logic [3:0]        axregion;
85
 
86
  localparam AW_W = USE_ADVANCED_PROTOCOL ? AX_BASIC_W + AX_ADVANCED_W : AX_BASIC_W;
87
 
88
 
89
  // --------------------------------------------------------------------
90
  //
91
  wire [AW_W-1:0] aw_rd_data;
92
  wire [AW_W-1:0] aw_wr_data;
93
 
94
  generate
95
    begin: aw_data_gen
96
      if(USE_ADVANCED_PROTOCOL)
97
      begin
98
        assign aw_wr_data =
99
          {
100
            axi4_write_fifo.awaddr,
101
            axi4_write_fifo.awburst,
102
            axi4_write_fifo.awid,
103
            axi4_write_fifo.awlen,
104
            axi4_write_fifo.awsize,
105
            axi4_write_fifo.awcache,
106
            axi4_write_fifo.awlock,
107
            axi4_write_fifo.awprot,
108
            axi4_write_fifo.awqos,
109
            axi4_write_fifo.awregion
110
          };
111
 
112
        assign
113
          {
114
            axi4_m.awaddr,
115
            axi4_m.awburst,
116
            axi4_m.awid,
117
            axi4_m.awlen,
118
            axi4_m.awsize,
119
            axi4_m.awcache,
120
            axi4_m.awlock,
121
            axi4_m.awprot,
122
            axi4_m.awqos,
123
            axi4_m.awregion
124
          } = aw_rd_data;
125
      end
126
      else
127
      begin
128
        assign aw_wr_data =
129
          {
130
            axi4_write_fifo.awaddr,
131
            axi4_write_fifo.awburst,
132
            axi4_write_fifo.awid,
133
            axi4_write_fifo.awlen,
134
            axi4_write_fifo.awsize
135
          };
136
 
137
        assign
138
          {
139
            axi4_m.awaddr,
140
            axi4_m.awburst,
141
            axi4_m.awid,
142
            axi4_m.awlen,
143
            axi4_m.awsize
144
          } = aw_rd_data;
145
      end
146
    end
147
  endgenerate
148
 
149
 
150
  // --------------------------------------------------------------------
151
  //
152
  wire aw_rd_empty;
153
  wire aw_rd_en = axi4_m.awready & axi4_m.awvalid;
154
  assign axi4_m.awvalid = ~aw_rd_empty;
155
 
156
  sync_fifo #(.W(AW_W), .D(AW_D))
157
    aw_fifo
158
    (
159
      .wr_full(aw_wr_full),
160
      .wr_data(aw_wr_data),
161
      .wr_en(aw_wr_en),
162
      .rd_empty(aw_rd_empty),
163
      .rd_data(aw_rd_data),
164
      .rd_en(aw_rd_en),
165
      .count(),
166
      .clk(aclk),
167
      .reset(~aresetn)
168
    );
169
 
170
 
171
  // --------------------------------------------------------------------
172
  //
173
  wire [W_W-1:0] w_rd_data;
174
  wire [W_W-1:0] w_wr_data;
175
 
176
  assign w_wr_data =
177
    {
178
      axi4_write_fifo.wdata,
179
      axi4_write_fifo.wid,
180
      axi4_write_fifo.wlast,
181
      axi4_write_fifo.wstrb
182
    };
183
 
184
  assign
185
    {
186
      axi4_m.wdata,
187
      axi4_m.wid,
188
      axi4_m.wlast,
189
      axi4_m.wstrb
190
    } = w_rd_data;
191
 
192
 
193
  // --------------------------------------------------------------------
194
  //
195
  wire [UB:0] w_count;
196
  wire w_rd_empty;
197
  wire w_rd_en = axi4_m.wready & axi4_m.wvalid;
198
  assign axi4_m.wvalid = ~w_rd_empty;
199
 
200
  sync_fifo #(.W(W_W), .D(W_D))
201
    w_fifo
202
    (
203
      .wr_full(w_wr_full),
204
      .wr_data(w_wr_data),
205
      .wr_en(w_wr_en),
206
      .rd_empty(w_rd_empty),
207
      .rd_data(w_rd_data),
208
      .rd_en(w_rd_en),
209
      .count(w_count),
210
      .clk(aclk),
211
      .reset(~aresetn)
212
    );
213
 
214
 
215
  // --------------------------------------------------------------------
216
  //
217
  generate
218
    begin: w_watermark_gen
219
      if(WATERMARK == 0)
220
      begin
221
        assign w_topped_off = 1;
222
        assign w_watermark = 0;
223
      end
224
      else
225
      begin
226
        reg w_topped_off_r;
227
        assign w_topped_off = w_topped_off_r;
228
        assign w_watermark = w_count > WATERMARK - 1;
229
 
230
        always_ff @(posedge aclk)
231
          if(~aresetn | w_rd_empty)
232
            w_topped_off_r <= 0;
233
          else if(w_watermark)
234
            w_topped_off_r <= 1;
235
      end
236
    end
237
  endgenerate
238
 
239
 
240
  // --------------------------------------------------------------------
241
  //
242
  wire [B_W-1:0] b_rd_data;
243
  wire [B_W-1:0] b_wr_data;
244
 
245
  assign b_wr_data =
246
    {
247
      axi4_m.bid,
248
      axi4_m.bresp
249
    };
250
 
251
  assign
252
    {
253
      axi4_write_fifo.bid,
254
      axi4_write_fifo.bresp
255
    } = b_rd_data;
256
 
257
 
258
  // --------------------------------------------------------------------
259
  //
260
  wire b_wr_full;
261
  wire b_wr_en = axi4_m.bready & axi4_m.bvalid;
262
  assign axi4_m.bready = ~b_wr_full;
263
 
264
  sync_fifo #(.W(B_W), .D(B_D))
265
    b_fifo
266
    (
267
      .wr_full(b_wr_full),
268
      .wr_data(b_wr_data),
269
      .wr_en(b_wr_en),
270
      .rd_empty(b_rd_empty),
271
      .rd_data(b_rd_data),
272
      .rd_en(b_rd_en),
273
      .count(),
274
      .clk(aclk),
275
      .reset(~aresetn)
276
    );
277
 
278
 
279
// --------------------------------------------------------------------
280
//
281
endmodule
282
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.