OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lib/] [src/] [axi4_to_axis_basic_dma.sv] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  axi4_to_axis_basic_dma
31
  #(
32
    A, // address bus width
33
    N,  // data bus width in bytes
34
    I,  // ID width
35
    BASE_ADDRESS, // must be on 4K boundry
36
    BUFFER_SIZE,
37
    BURST_LENGTH,
38
    MAX_BURSTS, // max number of burst the FIFO can hold
39
    BYTES_PER_TUSER = 0 //  bytes per tuser bit . Set to 0 for transfer based.
40
  )
41
  (
42
    axi4_if axi4_m,
43
    axis_if axis_out,
44
    input   dma_enable,
45
    input   aclk,
46
    input   aresetn
47
  );
48
 
49
  // --------------------------------------------------------------------
50
  //
51
  localparam R_D = BURST_LENGTH * MAX_BURSTS;
52
  localparam AR_D = 2;
53
  localparam WATERMARK = BURST_LENGTH * (MAX_BURSTS - AR_D);
54
  localparam STRIDE = N * BURST_LENGTH;
55
  localparam ADDRESS_END = BASE_ADDRESS + BUFFER_SIZE;
56
  localparam AR_STOP  = (BUFFER_SIZE % STRIDE)  ? ADDRESS_END - STRIDE  : ADDRESS_END;
57
  localparam R_STOP   = (BUFFER_SIZE % N)       ? ADDRESS_END - N       : ADDRESS_END;
58
  localparam U = N / BYTES_PER_TUSER;
59
 
60
 
61
// --------------------------------------------------------------------
62
// synthesis translate_off
63
  localparam N_4K = 'h1000 / 'h8; // number of bytes in 4K
64
  initial
65
  begin
66
    a_4k_mod_base_address: assert(BASE_ADDRESS % 'h1000 == 0) else $fatal;
67
    a_4k_gt_eq_stride: assert(N_4K >= STRIDE) else $fatal;
68
    a_4k_mod_stride: assert('h1000 % STRIDE == 0) else $fatal;
69
    a_n: assert((N % BYTES_PER_TUSER == 0)) else $fatal;
70
    a_buffer_size: assert(BUFFER_SIZE % N == 0) else $fatal;
71
    a_bytes_per_tuser: assert(BYTES_PER_TUSER != 0) else $fatal; // need to fix
72
  end
73
// synthesis translate_on
74
// --------------------------------------------------------------------
75
 
76
 
77
  // --------------------------------------------------------------------
78
  //
79
  axi4_if #(.A(A), .N(N), .I(I))  axi4_read_fifo(.*);
80
  axis_if #(.N(N), .U(U))         axis_in(.*);
81
 
82
 
83
  // --------------------------------------------------------------------
84
  //
85
  reg [(A-1):0] araddr_r;
86
  wire araddr_en = (araddr_r < AR_STOP);
87
  wire r_watermark;
88
  wire ar_wr_full;
89
  wire ar_wr_en = ~ar_wr_full & ~r_watermark & araddr_en & dma_enable;
90
 
91
  always_ff @(posedge aclk)
92
    if(~aresetn | ~araddr_en)
93
      araddr_r <= BASE_ADDRESS;
94
    else if(ar_wr_en)
95
      araddr_r <= araddr_r + STRIDE;
96
 
97
 
98
  // --------------------------------------------------------------------
99
  //
100
  reg [(A-1):0] r_rd_addr;
101
  wire r_rd_addr_en = (r_rd_addr < R_STOP);
102
  wire r_rd_addr_start = (r_rd_addr == BASE_ADDRESS);
103
  wire r_rd_addr_end   = (r_rd_addr == R_STOP);
104
  wire r_rd_empty;
105
  wire r_topped_off;
106
  wire r_rd_en = ~r_rd_empty & r_rd_addr_en & axis_in.tready;
107
 
108
  always_ff @(posedge aclk)
109
    if(~aresetn | ~r_rd_addr_en)
110
      r_rd_addr <= BASE_ADDRESS;
111
    else if(r_rd_en)
112
      r_rd_addr <= r_rd_addr + N;
113
 
114
 
115
  // --------------------------------------------------------------------
116
  //
117
  axi4_m_to_read_fifos #(.A(A), .N(N), .I(I), .R_D(R_D), .AR_D(AR_D), .WATERMARK(WATERMARK))
118
    axi4_m_to_read_fifos_i(.*);
119
 
120
 
121
  // --------------------------------------------------------------------
122
  //
123
  assign axi4_read_fifo.araddr    = araddr_r;
124
  assign axi4_read_fifo.arid      = 0;
125
  assign axi4_read_fifo.arburst   = 2'b01;
126
  assign axi4_read_fifo.arsize    = $clog2(N);
127
  assign axi4_read_fifo.arlen     = BURST_LENGTH - 1;
128
  assign axi4_read_fifo.rready    = 1;
129
 
130
 
131
  // --------------------------------------------------------------------
132
  //
133
  assign axi4_m.awaddr    = 0;
134
  assign axi4_m.awburst   = 0;
135
  assign axi4_m.awcache   = 0;
136
  assign axi4_m.awid      = 0;
137
  assign axi4_m.awlen     = 0;
138
  assign axi4_m.awlock    = 0;
139
  assign axi4_m.awprot    = 0;
140
  assign axi4_m.awqos     = 0;
141
  assign axi4_m.awregion  = 0;
142
  assign axi4_m.awsize    = 0;
143
  assign axi4_m.awvalid   = 0;
144
  assign axi4_m.bready    = 0;
145
  assign axi4_m.wdata     = 0;
146
  assign axi4_m.wid       = 0;
147
  assign axi4_m.wlast     = 0;
148
  assign axi4_m.wstrb     = 0;
149
  assign axi4_m.wvalid    = 0;
150
 
151
 
152
  // --------------------------------------------------------------------
153
  //
154
  assign axis_in.tvalid = ~r_rd_empty;
155
  assign axis_in.tdata = axi4_read_fifo.rdata;
156
  assign axis_in.tlast = axi4_read_fifo.rlast;
157
  assign axis_in.tuser[0] = r_rd_addr_start;
158
  assign axis_in.tuser[U-1:1] = 0; // need to fix
159
 
160
 
161
  // --------------------------------------------------------------------
162
  //
163
  axis_register_slice #(.N(N), .U(U))
164
    axis_register_slice_i(.*);
165
 
166
 
167
// --------------------------------------------------------------------
168
//
169
endmodule
170
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.