OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [sim/] [tests/] [legacy/] [tb_axis_gear_box/] [tests_base_pkg.sv] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
// --------------------------------------------------------------------
29
//
30
package tests_base_pkg;
31
 
32
  // --------------------------------------------------------------------
33
  //
34
  import uvm_pkg::*;
35
  `include "uvm_macros.svh"
36
  import tb_axis_gear_box_pkg::*;
37
 
38
  // --------------------------------------------------------------------
39
  //
40
  virtual class test_base extends uvm_test;
41
    `uvm_component_utils(test_base);
42
    tb_env env_h;
43
 
44
    // --------------------------------------------------------------------
45
    //
46
    function void build_phase(uvm_phase phase);
47
      env_h = tb_env::type_id::create("env_h",this);
48
    endfunction : build_phase
49
 
50
    // --------------------------------------------------------------------
51
    //
52
    function new (string name, uvm_component parent);
53
      super.new(name,parent);
54
    endfunction : new
55
 
56
  // --------------------------------------------------------------------
57
  //
58
  endclass : test_base
59
 
60
  // --------------------------------------------------------------------
61
  //
62
  class test_debug_base extends test_base;
63
     `uvm_component_utils(test_debug_base)
64
 
65
    // --------------------------------------------------------------------
66
    //
67
    function new (string name, uvm_component parent);
68
      super.new(name,parent);
69
    endfunction : new
70
 
71
    // --------------------------------------------------------------------
72
    //
73
    function void final_phase(uvm_phase phase);
74
      super.final_phase(phase);
75
      $display("^^^ %16.t | %m | Test Done!!!", $time);
76
      $stop;
77
    endfunction : final_phase
78
 
79
  // --------------------------------------------------------------------
80
  //
81
  endclass : test_debug_base
82
 
83
// --------------------------------------------------------------------
84
//
85
endpackage: tests_base_pkg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.